Skip to content

Issues: OpenXiangShan/XiangShan

New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Author
Filter by author
Loading
Label
Filter by label
Loading
Use alt + click/return to exclude labels
or + click/return for logical OR
Projects
Filter by project
Loading
Milestones
Filter by milestone
Loading
Assignee
Filter by who’s assigned
Sort

Issues list

How to add new fields to /proc/cpuinfo? problem Problem requiring help
#3619 opened Sep 20, 2024 by LOCKEDGATE
3 tasks done
请问可以提供搭建到VCU128 FPGA开发板的相关文件吗 question Question requiring answer
#3613 opened Sep 19, 2024 by Satone7
5 tasks done
Run sudo -s ./setup-tools.sh C++ compiler has problem problem Problem requiring help
#3571 opened Sep 13, 2024 by han-jianing
3 tasks done
no error when make verilog, but no Top.v generated problem Problem requiring help
#3530 opened Sep 10, 2024 by han-jianing
3 tasks done
GPRs modification question Question requiring answer
#3466 opened Sep 2, 2024 by mlabaf2
5 tasks done
About SDCard module question Question requiring answer
#3448 opened Aug 30, 2024 by changekkk
5 tasks done
How to use Xiangshan tutorial for multi-core testing about spec 2006 problem Problem requiring help
#3446 opened Aug 29, 2024 by LOCKEDGATE
3 tasks done
About the clock problem in Xiangshan problem Problem requiring help
#3415 opened Aug 22, 2024 by LOCKEDGATE
3 tasks done
wrong address space problem Problem requiring help
#3390 opened Aug 16, 2024 by mlabaf2
3 tasks done
How to debug Xiangshan on FPGA with OpenOCD? problem Problem requiring help
#3388 opened Aug 15, 2024 by x402
3 tasks done
Is there any tutorial on how to run XiangShan RISC-V Processor on S2C's vu19p? question Question requiring answer
#3368 opened Aug 12, 2024 by yorange1
5 tasks done
Question about emulation of the CHI version problem Problem requiring help
#3365 opened Aug 9, 2024 by spritelzj
3 tasks done
add some IO ports problem Problem requiring help
#3312 opened Jul 30, 2024 by mlabaf2
3 tasks done
--no-check-comb-loops question Question requiring answer
#3306 opened Jul 29, 2024 by mlabaf2
5 tasks done
How to enable the DebugModule of StandAloneDebugModule with the AXI version problem Problem requiring help
#3234 opened Jul 18, 2024 by biquanha
3 tasks done
rvv-bench: XiangShan performance problems problem Problem requiring help
#3200 opened Jul 14, 2024 by camel-cdr
3 tasks done
error massage bug report Bugs to be confirmed
#3154 opened Jul 7, 2024 by mlabaf2
4 tasks done
invalid opcode question Question requiring answer
#3153 opened Jul 6, 2024 by mlabaf2
5 tasks done
make verilog NUM_CORES=4 gets error problem Problem requiring help
#3072 opened Jun 14, 2024 by meiqin0
3 tasks done
Is there any interface to flush L2 Cache data feature request Feature request to be considered
#2934 opened Apr 28, 2024 by Phantom1003
TileLink to CHI
#2630 opened Jan 11, 2024 by changekkk
ProTip! Follow long discussions with comments:>50.