Skip to content

Commit

Permalink
dts: New batch of pinctrl.dtsi files including SWJ signals
Browse files Browse the repository at this point in the history
SWJ signals have been generated.

Signed-off-by: Erwan Gouriou <[email protected]>
  • Loading branch information
erwango committed Jan 18, 2024
1 parent 158cd9e commit 60c9634
Show file tree
Hide file tree
Showing 1,427 changed files with 27,302 additions and 0 deletions.
10 changes: 10 additions & 0 deletions dts/st/c0/stm32c011d6yx-pinctrl.dtsi
Original file line number Diff line number Diff line change
Expand Up @@ -264,6 +264,16 @@
pinmux = <STM32_PINMUX('A', 14, AF8)>;
};

/* JTAG PORT */

/omit-if-no-ref/ debug_swdio_pa13: debug_swdio_pa13 {
pinmux = <STM32_PINMUX('A', 13, AF0)>;
};

/omit-if-no-ref/ debug_swclk_pa14: debug_swclk_pa14 {
pinmux = <STM32_PINMUX('A', 14, AF0)>;
};

/* SPI_MISO */

/omit-if-no-ref/ spi1_miso_pa6: spi1_miso_pa6 {
Expand Down
10 changes: 10 additions & 0 deletions dts/st/c0/stm32c011f(4-6)px-pinctrl.dtsi
Original file line number Diff line number Diff line change
Expand Up @@ -264,6 +264,16 @@
pinmux = <STM32_PINMUX('A', 14, AF8)>;
};

/* JTAG PORT */

/omit-if-no-ref/ debug_swdio_pa13: debug_swdio_pa13 {
pinmux = <STM32_PINMUX('A', 13, AF0)>;
};

/omit-if-no-ref/ debug_swclk_pa14: debug_swclk_pa14 {
pinmux = <STM32_PINMUX('A', 14, AF0)>;
};

/* SPI_MISO */

/omit-if-no-ref/ spi1_miso_pa6: spi1_miso_pa6 {
Expand Down
10 changes: 10 additions & 0 deletions dts/st/c0/stm32c011f(4-6)ux-pinctrl.dtsi
Original file line number Diff line number Diff line change
Expand Up @@ -264,6 +264,16 @@
pinmux = <STM32_PINMUX('A', 14, AF8)>;
};

/* JTAG PORT */

/omit-if-no-ref/ debug_swdio_pa13: debug_swdio_pa13 {
pinmux = <STM32_PINMUX('A', 13, AF0)>;
};

/omit-if-no-ref/ debug_swclk_pa14: debug_swclk_pa14 {
pinmux = <STM32_PINMUX('A', 14, AF0)>;
};

/* SPI_MISO */

/omit-if-no-ref/ spi1_miso_pa6: spi1_miso_pa6 {
Expand Down
10 changes: 10 additions & 0 deletions dts/st/c0/stm32c011j(4-6)mx-pinctrl.dtsi
Original file line number Diff line number Diff line change
Expand Up @@ -206,6 +206,16 @@
pinmux = <STM32_PINMUX('A', 14, AF8)>;
};

/* JTAG PORT */

/omit-if-no-ref/ debug_swdio_pa13: debug_swdio_pa13 {
pinmux = <STM32_PINMUX('A', 13, AF0)>;
};

/omit-if-no-ref/ debug_swclk_pa14: debug_swclk_pa14 {
pinmux = <STM32_PINMUX('A', 14, AF0)>;
};

/* SPI_MISO */

/omit-if-no-ref/ spi1_miso_pa11: spi1_miso_pa11 {
Expand Down
10 changes: 10 additions & 0 deletions dts/st/c0/stm32c031c(4-6)tx-pinctrl.dtsi
Original file line number Diff line number Diff line change
Expand Up @@ -427,6 +427,16 @@
pinmux = <STM32_PINMUX('B', 0, AF0)>;
};

/* JTAG PORT */

/omit-if-no-ref/ debug_swdio_pa13: debug_swdio_pa13 {
pinmux = <STM32_PINMUX('A', 13, AF0)>;
};

/omit-if-no-ref/ debug_swclk_pa14: debug_swclk_pa14 {
pinmux = <STM32_PINMUX('A', 14, AF0)>;
};

/* SPI_MISO */

/omit-if-no-ref/ spi1_miso_pa6: spi1_miso_pa6 {
Expand Down
10 changes: 10 additions & 0 deletions dts/st/c0/stm32c031c(4-6)ux-pinctrl.dtsi
Original file line number Diff line number Diff line change
Expand Up @@ -427,6 +427,16 @@
pinmux = <STM32_PINMUX('B', 0, AF0)>;
};

/* JTAG PORT */

/omit-if-no-ref/ debug_swdio_pa13: debug_swdio_pa13 {
pinmux = <STM32_PINMUX('A', 13, AF0)>;
};

/omit-if-no-ref/ debug_swclk_pa14: debug_swclk_pa14 {
pinmux = <STM32_PINMUX('A', 14, AF0)>;
};

/* SPI_MISO */

/omit-if-no-ref/ spi1_miso_pa6: spi1_miso_pa6 {
Expand Down
10 changes: 10 additions & 0 deletions dts/st/c0/stm32c031f(4-6)px-pinctrl.dtsi
Original file line number Diff line number Diff line change
Expand Up @@ -264,6 +264,16 @@
pinmux = <STM32_PINMUX('A', 14, AF8)>;
};

/* JTAG PORT */

/omit-if-no-ref/ debug_swdio_pa13: debug_swdio_pa13 {
pinmux = <STM32_PINMUX('A', 13, AF0)>;
};

/omit-if-no-ref/ debug_swclk_pa14: debug_swclk_pa14 {
pinmux = <STM32_PINMUX('A', 14, AF0)>;
};

/* SPI_MISO */

/omit-if-no-ref/ spi1_miso_pa6: spi1_miso_pa6 {
Expand Down
10 changes: 10 additions & 0 deletions dts/st/c0/stm32c031g(4-6)ux-pinctrl.dtsi
Original file line number Diff line number Diff line change
Expand Up @@ -337,6 +337,16 @@
pinmux = <STM32_PINMUX('B', 0, AF0)>;
};

/* JTAG PORT */

/omit-if-no-ref/ debug_swdio_pa13: debug_swdio_pa13 {
pinmux = <STM32_PINMUX('A', 13, AF0)>;
};

/omit-if-no-ref/ debug_swclk_pa14: debug_swclk_pa14 {
pinmux = <STM32_PINMUX('A', 14, AF0)>;
};

/* SPI_MISO */

/omit-if-no-ref/ spi1_miso_pa6: spi1_miso_pa6 {
Expand Down
10 changes: 10 additions & 0 deletions dts/st/c0/stm32c031k(4-6)tx-pinctrl.dtsi
Original file line number Diff line number Diff line change
Expand Up @@ -355,6 +355,16 @@
pinmux = <STM32_PINMUX('B', 0, AF0)>;
};

/* JTAG PORT */

/omit-if-no-ref/ debug_swdio_pa13: debug_swdio_pa13 {
pinmux = <STM32_PINMUX('A', 13, AF0)>;
};

/omit-if-no-ref/ debug_swclk_pa14: debug_swclk_pa14 {
pinmux = <STM32_PINMUX('A', 14, AF0)>;
};

/* SPI_MISO */

/omit-if-no-ref/ spi1_miso_pa6: spi1_miso_pa6 {
Expand Down
10 changes: 10 additions & 0 deletions dts/st/c0/stm32c031k(4-6)ux-pinctrl.dtsi
Original file line number Diff line number Diff line change
Expand Up @@ -355,6 +355,16 @@
pinmux = <STM32_PINMUX('B', 0, AF0)>;
};

/* JTAG PORT */

/omit-if-no-ref/ debug_swdio_pa13: debug_swdio_pa13 {
pinmux = <STM32_PINMUX('A', 13, AF0)>;
};

/omit-if-no-ref/ debug_swclk_pa14: debug_swclk_pa14 {
pinmux = <STM32_PINMUX('A', 14, AF0)>;
};

/* SPI_MISO */

/omit-if-no-ref/ spi1_miso_pa6: spi1_miso_pa6 {
Expand Down
10 changes: 10 additions & 0 deletions dts/st/f0/stm32f030c6tx-pinctrl.dtsi
Original file line number Diff line number Diff line change
Expand Up @@ -281,6 +281,16 @@
bias-pull-up;
};

/* JTAG PORT */

/omit-if-no-ref/ sys_swdio_pa13: sys_swdio_pa13 {
pinmux = <STM32_PINMUX('A', 13, ANALOG)>;
};

/omit-if-no-ref/ sys_swclk_pa14: sys_swclk_pa14 {
pinmux = <STM32_PINMUX('A', 14, AF0)>;
};

/* SPI_MISO */

/omit-if-no-ref/ spi1_miso_pa6: spi1_miso_pa6 {
Expand Down
10 changes: 10 additions & 0 deletions dts/st/f0/stm32f030c8tx-pinctrl.dtsi
Original file line number Diff line number Diff line change
Expand Up @@ -269,6 +269,16 @@
bias-pull-up;
};

/* JTAG PORT */

/omit-if-no-ref/ sys_swdio_pa13: sys_swdio_pa13 {
pinmux = <STM32_PINMUX('A', 13, ANALOG)>;
};

/omit-if-no-ref/ sys_swclk_pa14: sys_swclk_pa14 {
pinmux = <STM32_PINMUX('A', 14, AF0)>;
};

/* SPI_MISO */

/omit-if-no-ref/ spi1_miso_pa6: spi1_miso_pa6 {
Expand Down
10 changes: 10 additions & 0 deletions dts/st/f0/stm32f030cctx-pinctrl.dtsi
Original file line number Diff line number Diff line change
Expand Up @@ -297,6 +297,16 @@
bias-pull-up;
};

/* JTAG PORT */

/omit-if-no-ref/ sys_swdio_pa13: sys_swdio_pa13 {
pinmux = <STM32_PINMUX('A', 13, AF0)>;
};

/omit-if-no-ref/ sys_swclk_pa14: sys_swclk_pa14 {
pinmux = <STM32_PINMUX('A', 14, AF0)>;
};

/* SPI_MISO */

/omit-if-no-ref/ spi1_miso_pa6: spi1_miso_pa6 {
Expand Down
10 changes: 10 additions & 0 deletions dts/st/f0/stm32f030f4px-pinctrl.dtsi
Original file line number Diff line number Diff line change
Expand Up @@ -126,6 +126,16 @@
drive-open-drain;
};

/* JTAG PORT */

/omit-if-no-ref/ sys_swdio_pa13: sys_swdio_pa13 {
pinmux = <STM32_PINMUX('A', 13, ANALOG)>;
};

/omit-if-no-ref/ sys_swclk_pa14: sys_swclk_pa14 {
pinmux = <STM32_PINMUX('A', 14, AF0)>;
};

/* SPI_MISO */

/omit-if-no-ref/ spi1_miso_pa6: spi1_miso_pa6 {
Expand Down
10 changes: 10 additions & 0 deletions dts/st/f0/stm32f030k6tx-pinctrl.dtsi
Original file line number Diff line number Diff line change
Expand Up @@ -189,6 +189,16 @@
bias-pull-up;
};

/* JTAG PORT */

/omit-if-no-ref/ sys_swdio_pa13: sys_swdio_pa13 {
pinmux = <STM32_PINMUX('A', 13, ANALOG)>;
};

/omit-if-no-ref/ sys_swclk_pa14: sys_swclk_pa14 {
pinmux = <STM32_PINMUX('A', 14, AF0)>;
};

/* SPI_MISO */

/omit-if-no-ref/ spi1_miso_pa6: spi1_miso_pa6 {
Expand Down
10 changes: 10 additions & 0 deletions dts/st/f0/stm32f030r8tx-pinctrl.dtsi
Original file line number Diff line number Diff line change
Expand Up @@ -357,6 +357,16 @@
bias-pull-up;
};

/* JTAG PORT */

/omit-if-no-ref/ sys_swdio_pa13: sys_swdio_pa13 {
pinmux = <STM32_PINMUX('A', 13, ANALOG)>;
};

/omit-if-no-ref/ sys_swclk_pa14: sys_swclk_pa14 {
pinmux = <STM32_PINMUX('A', 14, AF0)>;
};

/* SPI_MISO */

/omit-if-no-ref/ spi1_miso_pa6: spi1_miso_pa6 {
Expand Down
10 changes: 10 additions & 0 deletions dts/st/f0/stm32f030rctx-pinctrl.dtsi
Original file line number Diff line number Diff line change
Expand Up @@ -377,6 +377,16 @@
bias-pull-up;
};

/* JTAG PORT */

/omit-if-no-ref/ sys_swdio_pa13: sys_swdio_pa13 {
pinmux = <STM32_PINMUX('A', 13, AF0)>;
};

/omit-if-no-ref/ sys_swclk_pa14: sys_swclk_pa14 {
pinmux = <STM32_PINMUX('A', 14, AF0)>;
};

/* SPI_MISO */

/omit-if-no-ref/ spi1_miso_pa6: spi1_miso_pa6 {
Expand Down
10 changes: 10 additions & 0 deletions dts/st/f0/stm32f031c(4-6)tx-pinctrl.dtsi
Original file line number Diff line number Diff line change
Expand Up @@ -325,6 +325,16 @@
pinmux = <STM32_PINMUX('A', 15, AF0)>;
};

/* JTAG PORT */

/omit-if-no-ref/ sys_swdio_pa13: sys_swdio_pa13 {
pinmux = <STM32_PINMUX('A', 13, ANALOG)>;
};

/omit-if-no-ref/ sys_swclk_pa14: sys_swclk_pa14 {
pinmux = <STM32_PINMUX('A', 14, AF0)>;
};

/* SPI_MISO */

/omit-if-no-ref/ spi1_miso_pa6: spi1_miso_pa6 {
Expand Down
10 changes: 10 additions & 0 deletions dts/st/f0/stm32f031e6yx-pinctrl.dtsi
Original file line number Diff line number Diff line change
Expand Up @@ -199,6 +199,16 @@
pinmux = <STM32_PINMUX('A', 4, AF0)>;
};

/* JTAG PORT */

/omit-if-no-ref/ sys_swdio_pa13: sys_swdio_pa13 {
pinmux = <STM32_PINMUX('A', 13, ANALOG)>;
};

/omit-if-no-ref/ sys_swclk_pa14: sys_swclk_pa14 {
pinmux = <STM32_PINMUX('A', 14, AF0)>;
};

/* SPI_MISO */

/omit-if-no-ref/ spi1_miso_pa6: spi1_miso_pa6 {
Expand Down
10 changes: 10 additions & 0 deletions dts/st/f0/stm32f031f(4-6)px-pinctrl.dtsi
Original file line number Diff line number Diff line change
Expand Up @@ -152,6 +152,16 @@
pinmux = <STM32_PINMUX('A', 4, AF0)>;
};

/* JTAG PORT */

/omit-if-no-ref/ sys_swdio_pa13: sys_swdio_pa13 {
pinmux = <STM32_PINMUX('A', 13, ANALOG)>;
};

/omit-if-no-ref/ sys_swclk_pa14: sys_swclk_pa14 {
pinmux = <STM32_PINMUX('A', 14, AF0)>;
};

/* SPI_MISO */

/omit-if-no-ref/ spi1_miso_pa6: spi1_miso_pa6 {
Expand Down
10 changes: 10 additions & 0 deletions dts/st/f0/stm32f031g(4-6)ux-pinctrl.dtsi
Original file line number Diff line number Diff line change
Expand Up @@ -225,6 +225,16 @@
pinmux = <STM32_PINMUX('A', 15, AF0)>;
};

/* JTAG PORT */

/omit-if-no-ref/ sys_swdio_pa13: sys_swdio_pa13 {
pinmux = <STM32_PINMUX('A', 13, ANALOG)>;
};

/omit-if-no-ref/ sys_swclk_pa14: sys_swclk_pa14 {
pinmux = <STM32_PINMUX('A', 14, AF0)>;
};

/* SPI_MISO */

/omit-if-no-ref/ spi1_miso_pa6: spi1_miso_pa6 {
Expand Down
10 changes: 10 additions & 0 deletions dts/st/f0/stm32f031k(4-6)ux-pinctrl.dtsi
Original file line number Diff line number Diff line change
Expand Up @@ -247,6 +247,16 @@
pinmux = <STM32_PINMUX('A', 15, AF0)>;
};

/* JTAG PORT */

/omit-if-no-ref/ sys_swdio_pa13: sys_swdio_pa13 {
pinmux = <STM32_PINMUX('A', 13, ANALOG)>;
};

/omit-if-no-ref/ sys_swclk_pa14: sys_swclk_pa14 {
pinmux = <STM32_PINMUX('A', 14, AF0)>;
};

/* SPI_MISO */

/omit-if-no-ref/ spi1_miso_pa6: spi1_miso_pa6 {
Expand Down
10 changes: 10 additions & 0 deletions dts/st/f0/stm32f031k6tx-pinctrl.dtsi
Original file line number Diff line number Diff line change
Expand Up @@ -233,6 +233,16 @@
pinmux = <STM32_PINMUX('A', 15, AF0)>;
};

/* JTAG PORT */

/omit-if-no-ref/ sys_swdio_pa13: sys_swdio_pa13 {
pinmux = <STM32_PINMUX('A', 13, ANALOG)>;
};

/omit-if-no-ref/ sys_swclk_pa14: sys_swclk_pa14 {
pinmux = <STM32_PINMUX('A', 14, AF0)>;
};

/* SPI_MISO */

/omit-if-no-ref/ spi1_miso_pa6: spi1_miso_pa6 {
Expand Down
Loading

0 comments on commit 60c9634

Please sign in to comment.