Skip to content

Commit

Permalink
add vhdl file support fsfe#564
Browse files Browse the repository at this point in the history
  • Loading branch information
kbroch-rivosinc authored and carmenbianca committed Jul 1, 2024
1 parent a909dc3 commit ad48a9a
Show file tree
Hide file tree
Showing 2 changed files with 2 additions and 0 deletions.
1 change: 1 addition & 0 deletions CHANGELOG.md
Original file line number Diff line number Diff line change
Expand Up @@ -53,6 +53,7 @@ CLI command and its behaviour. There are no guarantees of stability for the
- Perl test (`.t`) (#997)
- BATS test (`.bats`) (#997)
- Octave/Matlab (`.m`) (#604)
- VHDL(`.vhdl`) (#564)
- Support alternate spelling `--skip-unrecognized`. (#974)
- In `annotate`, rename `--copyright-style` to `--copyright-prefix`. The former
parameter is still supported. (#973)
Expand Down
1 change: 1 addition & 0 deletions src/reuse/comment.py
Original file line number Diff line number Diff line change
Expand Up @@ -810,6 +810,7 @@ class XQueryCommentStyle(CommentStyle):
".v": CppCommentStyle, # V-Lang source code
".vala": CppCommentStyle,
".vbproj": HtmlCommentStyle,
".vhdl": HaskellCommentStyle,
".vim": VimCommentStyle,
".vm": VelocityCommentStyle,
".vsh": CppCommentStyle, # V-Lang script
Expand Down

0 comments on commit ad48a9a

Please sign in to comment.