Skip to content

Commit

Permalink
PRJ: Merge branch 'development'
Browse files Browse the repository at this point in the history
  • Loading branch information
laborleben committed Nov 7, 2018
2 parents 45d1d2d + 5ec9f88 commit 5ad95bb
Show file tree
Hide file tree
Showing 16 changed files with 123 additions and 666 deletions.
9 changes: 2 additions & 7 deletions README.md
Original file line number Diff line number Diff line change
Expand Up @@ -37,9 +37,9 @@ The features of the host software in Python:
The pyBAR readout system was extensively used for various high-energy particle physics experiments as well as detector R&D.
- Stave 0 demonstrator for the ATLAS High-Luminosity LHC (HL-LHC) upgrade at CERN (28 FEI4 chips): documentation in preparation
- SHiP experiment at the CERN Super Proton Synchrotron (SPS) facility (24 FEI4 chips): documentation in preparation
- BEAST/FANGS experiment at the SuperKEKB facility (15 FEI4 chips): documentation in preparation
- BEAST/FANGS experiment at the SuperKEKB facility (15 FEI4 chips): DOI:[10.1016/j.nima.2018.05.071](https://doi.org/10.1016/j.nima.2018.05.071)
- BEAST/TPC experiment at the SuperKEKB facility (8 FEI4 chips): documentation in preparation
- Detector tests for the ATLAS Diamond Beam Monitor (DBM): DOI: [10.1088/1748-0221/12/03/C03072](https://dx.doi.org/10.1088/1748-0221/12/03/C03072)
- Detector tests for the ATLAS Diamond Beam Monitor (DBM): DOI:[10.1088/1748-0221/12/03/C03072](https://dx.doi.org/10.1088/1748-0221/12/03/C03072)
- Various other detector tests at [CERN SPS](http://sba.web.cern.ch) (Geneva, Switzerland), [DESY II](https://testbeam.desy.de) (Hamburg, Germany), and [ELSA](https://www-elsa.physik.uni-bonn.de) (Bonn, Germany)

## Installation
Expand All @@ -61,11 +61,6 @@ Run the **following commands** to install the packages:
pip install progressbar-latest pyvisa pyvisa-py git+https://github.com/pyqtgraph/[email protected]
```

On Windows, the `pywin32` package is required:
```
conda install pywin32
```

[Basil](https://github.com/SiLab-Bonn/basil) (>=2.4.12,<3.0.0) is required:
```
pip install "basil_daq>=2.4.12,<3.0.0"
Expand Down
2 changes: 1 addition & 1 deletion VERSION
Original file line number Diff line number Diff line change
@@ -1 +1 @@
3.1.1
3.1.2
1 change: 0 additions & 1 deletion appveyor.yml
Original file line number Diff line number Diff line change
Expand Up @@ -20,7 +20,6 @@ install:
- conda update --all --yes
- conda info -a
- conda install --yes bitarray cython ipython matplotlib mock nose numba numpy pyqt pyserial pytables pyyaml pyzmq qtpy scipy
- conda install --yes pywin32
- pip install progressbar-latest pyvisa pyvisa-py git+https://github.com/pyqtgraph/[email protected] #git+https://github.com/uvemas/ViTables@develop
# test packages required for USB interface
- pip install pyusb
Expand Down
9 changes: 9 additions & 0 deletions pybar/daq/readout_utils.py
Original file line number Diff line number Diff line change
Expand Up @@ -312,6 +312,15 @@ def is_data_record(value):
return np.logical_and(np.logical_and(np.less_equal(np.bitwise_and(value, 0x00FE0000), 0x00A00000), np.less_equal(np.bitwise_and(value, 0x0001FF00), 0x00015000)), np.logical_and(np.not_equal(np.bitwise_and(value, 0x00FE0000), 0x00000000), np.not_equal(np.bitwise_and(value, 0x0001FF00), 0x00000000)))


def get_trigger_data(value, mode=0):
'''Returns 31bit trigger counter (mode=0), 31bit timestamp (mode=1), 15bit timestamp and 16bit trigger counter (mode=2)
'''
if mode == 2:
return np.right_shift(np.bitwise_and(value, 0x7FFF0000), 16), np.bitwise_and(value, 0x0000FFFF)
else:
return np.bitwise_and(value, 0x7FFFFFFF)


def get_address_record_address(value):
'''Returns the address in the address record.
'''
Expand Down
15 changes: 0 additions & 15 deletions pybar/dut_configuration_lx9.yaml

This file was deleted.

6 changes: 3 additions & 3 deletions pybar/dut_configuration_mio.yaml
Original file line number Diff line number Diff line change
Expand Up @@ -16,10 +16,10 @@ TRIGGER_CH1_TO_CH4:
TRIGGER_SELECT : 0 # Selecting trigger input: RX2 (TDC loop-through) (8), RX1 (4), RX0 (2), MonHit/HitOR from Adapter Card (1), disabled (0)
TRIGGER_INVERT : 0 # Inverting trigger input: RX2 (TDC loop-through) (8), RX1 (4), RX0 (2), MonHit/HitOR from Adapter Card (1), disabled (0)
TRIGGER_VETO_SELECT : 1 # Selecting trigger veto: RX1 (2), RX FIFO full (1), disabled (0)
TRIGGER_HANDSHAKE_ACCEPT_WAIT_CYCLES : 3 # increase minimum trigger length
TRIGGER_HANDSHAKE_ACCEPT_WAIT_CYCLES : 3 # Minimum TLU trigger length (TLU data handshale mode) required for accepting the trigger (preventing certain EUDAQ TLU firmware flaws)
TRIGGER_DATA_DELAY : 8 # Depends on the cable length and should be adjusted (run scan/tune_tlu.py)
TRIGGER_THRESHOLD : 0 # Standard trigger minimum length
DATA_FORMAT : 0 # trigger number according to TRIGGER_MODE (0), time stamp only (1), combined, 15bit time stamp + 16bit trigger number (2)
TRIGGER_THRESHOLD : 0 # Minimum trigger length (standard trigger and TLU no handshake mode) required for accepting the trigger
DATA_FORMAT : 0 # 31bit trigger number (0), 31bit time stamp (1), combined (15bit time stamp + 16bit trigger number) (2)

# TDC for high precision charge measurements
TDC_RX2:
Expand Down
28 changes: 0 additions & 28 deletions pybar/dut_configuration_mio_gpac.yaml

This file was deleted.

Loading

0 comments on commit 5ad95bb

Please sign in to comment.