Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Error while building verilator with waveforms. #358

Open
ymingX opened this issue Jun 3, 2024 · 2 comments
Open

Error while building verilator with waveforms. #358

ymingX opened this issue Jun 3, 2024 · 2 comments

Comments

@ymingX
Copy link

ymingX commented Jun 3, 2024

I git clone and checkout chipyard v1.11.0 and gemmini v0.7.2, successfully configuring chipyard by running "./build-setup.sh riscv-tools" as chipyard docs. Then I tried to set up gemmini as readme.md.
I successfully built and run verilator. Further, when following readme running "if you want a simulator that can generate waveforms, run this:
./scripts/build-verilator.sh --debug

An error occurred:

VTestDriver__Trace__7__Slow.cpp: In function 'void VTestDriver___024root__trace_init_sub__TOP__14(VTestDriver___024root*, VerilatedVcd*)':
VTestDriver__Trace__7__Slow.cpp:2678:131: error: expected unqualified-id before ',' token
2678 | tracep->declQuad(c+70308,0,"channel",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::, false,-1, 63,0);
| ^
make[1]: *** [/mnt/e/Ubuntu2004/chipyard/.conda-env/share/verilator/include/verilated.mk:275:VTestDriver__Trace__7__Slow.o] 错误 1
make[1]: 离开目录“/mnt/e/Ubuntu2004/chipyard/sims/verilator/generated-src/chipyard.harness.TestHarness.CustomGemminiSoCConfig/chipyard.harness.TestHarness.CustomGemminiSoCConfig.debug”
make: *** [Makefile:212:/mnt/e/Ubuntu2004/chipyard/sims/verilator/simulator-chipyard.harness-CustomGemminiSoCConfig-debug] 错误 2

I think there may be a missing object so I modified it to this like other lines of this file:

tracep->declQuad(c+70308,0,"channel",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 63,0);

However, this file was auto-generated, my changes lost when running ./scripts/build-verilator.sh --debug again so that this error still occurred.

detailed log is attached here:
build-debugbak.log

@AnjelicaB
Copy link

I have the same error, did you figure it out?

@ymingX
Copy link
Author

ymingX commented Jul 6, 2024

I have the same error, did you figure it out?

no

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

2 participants