{"payload":{"feedbackUrl":"https://github.com/orgs/community/discussions/53140","repo":{"id":220229160,"defaultBranch":"main","name":"yosys-f4pga-plugins","ownerLogin":"chipsalliance","currentUserCanPush":false,"isFork":false,"isEmpty":false,"createdAt":"2019-11-07T12:13:37.000Z","ownerAvatar":"https://avatars.githubusercontent.com/u/46612642?v=4","public":true,"private":false,"isOrgOwned":true},"refInfo":{"name":"","listCacheKey":"v0:1694186944.0","currentOid":""},"activityList":{"items":[{"before":"90fdbc4426f1c29dac6262589eeaddcd41e1be85","after":"dfe9b1a15b494e7dd81a2b394dac30ea707ec5cc","ref":"refs/heads/main","pushedAt":"2024-01-23T16:14:54.000Z","pushType":"push","commitsCount":1,"pusher":{"login":"kgugala","name":"Karol Gugala","path":"/kgugala","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/3785621?s=80&v=4"},"commit":{"message":"README: update synlig path","shortMessageHtmlLink":"README: update synlig path"}},{"before":"7c89a55eb20efa4184fefa5c0bd1096d311a6ded","after":"90fdbc4426f1c29dac6262589eeaddcd41e1be85","ref":"refs/heads/main","pushedAt":"2024-01-23T16:07:51.000Z","pushType":"push","commitsCount":1,"pusher":{"login":"kgugala","name":"Karol Gugala","path":"/kgugala","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/3785621?s=80&v=4"},"commit":{"message":"systemverilog-plugin: update synlig link","shortMessageHtmlLink":"systemverilog-plugin: update synlig link"}},{"before":"129ba8064e9e2dd5301cbab40e7c74ab8daf3fb6","after":null,"ref":"refs/heads/remove-sv-plugin","pushedAt":"2023-09-08T15:29:04.000Z","pushType":"branch_deletion","commitsCount":0,"pusher":{"login":"kbieganski","name":"Krzysztof Bieganski","path":"/kbieganski","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/9216518?s=80&v=4"}},{"before":"9be2ac0cbca978d45e87fb9224ded236890a2f3d","after":"7c89a55eb20efa4184fefa5c0bd1096d311a6ded","ref":"refs/heads/main","pushedAt":"2023-09-07T18:17:05.000Z","pushType":"pr_merge","commitsCount":2,"pusher":{"login":"hzeller","name":"Henner Zeller","path":"/hzeller","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/140937?s=80&v=4"},"commit":{"message":"Merge pull request #546 from chipsalliance/remove-sv-plugin\n\nRemove the SystemVerilog plugin","shortMessageHtmlLink":"Merge pull request #546 from chipsalliance/remove-sv-plugin"}},{"before":"834794d592bf2f31e7125f9ef103d76110e63281","after":"9be2ac0cbca978d45e87fb9224ded236890a2f3d","ref":"refs/heads/main","pushedAt":"2023-09-06T16:06:43.000Z","pushType":"pr_merge","commitsCount":2,"pusher":{"login":"hzeller","name":"Henner Zeller","path":"/hzeller","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/140937?s=80&v=4"},"commit":{"message":"Merge pull request #545 from chipsalliance/bump-version\n\nBump plugins version","shortMessageHtmlLink":"Merge pull request #545 from chipsalliance/bump-version"}},{"before":"a21577079afa483eeb6a15364ec7b4a06b19cca1","after":"129ba8064e9e2dd5301cbab40e7c74ab8daf3fb6","ref":"refs/heads/remove-sv-plugin","pushedAt":"2023-09-06T13:21:35.000Z","pushType":"force_push","commitsCount":0,"pusher":{"login":"kbieganski","name":"Krzysztof Bieganski","path":"/kbieganski","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/9216518?s=80&v=4"},"commit":{"message":"Remove the SV plugin\n\nSigned-off-by: Krzysztof Bieganski ","shortMessageHtmlLink":"Remove the SV plugin"}},{"before":null,"after":"a21577079afa483eeb6a15364ec7b4a06b19cca1","ref":"refs/heads/remove-sv-plugin","pushedAt":"2023-09-06T13:02:09.000Z","pushType":"branch_creation","commitsCount":0,"pusher":{"login":"kbieganski","name":"Krzysztof Bieganski","path":"/kbieganski","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/9216518?s=80&v=4"},"commit":{"message":"Remove the SV plugin\n\nSigned-off-by: Krzysztof Bieganski ","shortMessageHtmlLink":"Remove the SV plugin"}},{"before":"e4c7a5c5a19de65a1e950fd7f51ea43a912c9cca","after":"5471d1070b3048c1779c831cd36cc52773652265","ref":"refs/heads/bump-version","pushedAt":"2023-09-06T12:50:08.000Z","pushType":"push","commitsCount":13,"pusher":{"login":"kbieganski","name":"Krzysztof Bieganski","path":"/kbieganski","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/9216518?s=80&v=4"},"commit":{"message":"Bump plugins version\n\nSigned-off-by: Krzysztof Bieganski ","shortMessageHtmlLink":"Bump plugins version"}},{"before":"128ed9ce9cafc1a95b26f29a98c76c1e520451a6","after":"834794d592bf2f31e7125f9ef103d76110e63281","ref":"refs/heads/main","pushedAt":"2023-09-01T14:06:07.000Z","pushType":"pr_merge","commitsCount":2,"pusher":{"login":"wsipak","name":null,"path":"/wsipak","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/48583927?s=80&v=4"},"commit":{"message":"Merge pull request #538 from QuantamHD/fix_param_union\n\nAllows Union Types in Parameter Fields","shortMessageHtmlLink":"Merge pull request #538 from QuantamHD/fix_param_union"}},{"before":"5661b7d46606f2c7b966614c70373fa07ef7235e","after":"128ed9ce9cafc1a95b26f29a98c76c1e520451a6","ref":"refs/heads/main","pushedAt":"2023-08-30T07:44:05.000Z","pushType":"pr_merge","commitsCount":2,"pusher":{"login":"tgorochowik","name":"Tomasz Gorochowik","path":"/tgorochowik","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/8438531?s=80&v=4"},"commit":{"message":"Merge pull request #541 from hzeller/20230829-undef-clashing-macro\n\nUndef a macro that clashes with a UHDM class.","shortMessageHtmlLink":"Merge pull request #541 from hzeller/20230829-undef-clashing-macro"}},{"before":"17519a6ac96b649112a331364f59b416fe2d6874","after":"5661b7d46606f2c7b966614c70373fa07ef7235e","ref":"refs/heads/main","pushedAt":"2023-08-29T09:48:08.000Z","pushType":"pr_merge","commitsCount":2,"pusher":{"login":"mglb","name":"Mariusz Glebocki","path":"/mglb","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/4888536?s=80&v=4"},"commit":{"message":"Merge pull request #540 from antmicro/kbieg/remove-uhdm-report\n\nRemove UHDM coverage report functionality","shortMessageHtmlLink":"Merge pull request #540 from antmicro/kbieg/remove-uhdm-report"}},{"before":"f8daf6da2ccaac239fd07aaf4207bdb30087c9e7","after":"17519a6ac96b649112a331364f59b416fe2d6874","ref":"refs/heads/main","pushedAt":"2023-08-19T19:04:38.000Z","pushType":"pr_merge","commitsCount":5,"pusher":{"login":"mglb","name":"Mariusz Glebocki","path":"/mglb","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/4888536?s=80&v=4"},"commit":{"message":"Merge pull request #521 from antmicro/wsip/duplicated_ranges\n\nduplicated ranges","shortMessageHtmlLink":"Merge pull request #521 from antmicro/wsip/duplicated_ranges"}},{"before":"91626594a7e8cbc5e540c0870de6367d1ee9d329","after":"f8daf6da2ccaac239fd07aaf4207bdb30087c9e7","ref":"refs/heads/main","pushedAt":"2023-08-08T16:37:11.000Z","pushType":"pr_merge","commitsCount":2,"pusher":{"login":"tgorochowik","name":"Tomasz Gorochowik","path":"/tgorochowik","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/8438531?s=80&v=4"},"commit":{"message":"Merge pull request #536 from chipsalliance/bump-version\n\nBump plugins version","shortMessageHtmlLink":"Merge pull request #536 from chipsalliance/bump-version"}},{"before":null,"after":"e4c7a5c5a19de65a1e950fd7f51ea43a912c9cca","ref":"refs/heads/bump-version","pushedAt":"2023-08-08T16:36:50.000Z","pushType":"branch_creation","commitsCount":0,"pusher":{"login":"tgorochowik","name":"Tomasz Gorochowik","path":"/tgorochowik","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/8438531?s=80&v=4"},"commit":{"message":"Bump plugins version","shortMessageHtmlLink":"Bump plugins version"}},{"before":"73038124b0a2943fe9d591c43f46292bcbf82105","after":"91626594a7e8cbc5e540c0870de6367d1ee9d329","ref":"refs/heads/main","pushedAt":"2023-08-08T16:35:15.000Z","pushType":"pr_merge","commitsCount":10,"pusher":{"login":"tgorochowik","name":"Tomasz Gorochowik","path":"/tgorochowik","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/8438531?s=80&v=4"},"commit":{"message":"Merge pull request #531 from antmicro/kr/adapt_surelog\n\nsystemverilog plugin: changes required for newer Surelog version","shortMessageHtmlLink":"Merge pull request #531 from antmicro/kr/adapt_surelog"}},{"before":"0ad1af26a29243a9e76379943d735e119dcd0cc6","after":"73038124b0a2943fe9d591c43f46292bcbf82105","ref":"refs/heads/main","pushedAt":"2023-06-21T20:47:44.390Z","pushType":"pr_merge","commitsCount":6,"pusher":{"login":"mglb","name":"Mariusz Glebocki","path":"/mglb","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/4888536?s=80&v=4"},"commit":{"message":"Merge pull request #534 from antmicro/mglb/FixLeaks\n\nFix memory leaks detected by ASAN.","shortMessageHtmlLink":"Merge pull request #534 from antmicro/mglb/FixLeaks"}},{"before":"c8d2d149861e7981745a667b502191daf96aaf69","after":"0ad1af26a29243a9e76379943d735e119dcd0cc6","ref":"refs/heads/main","pushedAt":"2023-06-13T13:24:03.865Z","pushType":"pr_merge","commitsCount":7,"pusher":{"login":"mglb","name":"Mariusz Glebocki","path":"/mglb","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/4888536?s=80&v=4"},"commit":{"message":"Merge pull request #530 from antmicro/mglb/FixLeaks\n\nsystemverilog-plugin: Fix few ASAN issues","shortMessageHtmlLink":"Merge pull request #530 from antmicro/mglb/FixLeaks"}},{"before":"6689e20e5fdb0b8d6f494acd65b08fd4646edc0e","after":"c8d2d149861e7981745a667b502191daf96aaf69","ref":"refs/heads/main","pushedAt":"2023-06-09T10:48:18.139Z","pushType":"pr_merge","commitsCount":2,"pusher":{"login":"wsipak","name":null,"path":"/wsipak","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/48583927?s=80&v=4"},"commit":{"message":"Merge pull request #528 from antmicro/wsip/hier_path\n\nFix hier path processing","shortMessageHtmlLink":"Merge pull request #528 from antmicro/wsip/hier_path"}},{"before":"188555fc0648c0a3623e84dd28a8cd61e169bc6d","after":"6689e20e5fdb0b8d6f494acd65b08fd4646edc0e","ref":"refs/heads/main","pushedAt":"2023-06-07T08:58:14.863Z","pushType":"pr_merge","commitsCount":3,"pusher":{"login":"kamilrakoczy","name":"Kamil Rakoczy","path":"/kamilrakoczy","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/11210823?s=80&v=4"},"commit":{"message":"Merge pull request #529 from antmicro/kr/fix_multirange_with_dot\n\nyosys-systemverilog: fix multirange with dot usage","shortMessageHtmlLink":"Merge pull request #529 from antmicro/kr/fix_multirange_with_dot"}},{"before":"8edc027cdc484f86c5ac8698c696c74d04e6f92e","after":"188555fc0648c0a3623e84dd28a8cd61e169bc6d","ref":"refs/heads/main","pushedAt":"2023-06-06T14:29:04.576Z","pushType":"pr_merge","commitsCount":2,"pusher":{"login":"kamilrakoczy","name":"Kamil Rakoczy","path":"/kamilrakoczy","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/11210823?s=80&v=4"},"commit":{"message":"Merge pull request #507 from antmicro/kr/fix_enum_multirange\n\nsystemverilog-plugin: fix packed arrays of enums","shortMessageHtmlLink":"Merge pull request #507 from antmicro/kr/fix_enum_multirange"}},{"before":"56f957caa573658015cc4256cd9ebf2a0cc70a19","after":"8edc027cdc484f86c5ac8698c696c74d04e6f92e","ref":"refs/heads/main","pushedAt":"2023-06-06T05:40:47.541Z","pushType":"pr_merge","commitsCount":3,"pusher":{"login":"kamilrakoczy","name":"Kamil Rakoczy","path":"/kamilrakoczy","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/11210823?s=80&v=4"},"commit":{"message":"Merge pull request #523 from antmicro/kr/fix_anonymous_enum\n\nsystemverilog-plugin: fix anonymous enum when declared in submodules","shortMessageHtmlLink":"Merge pull request #523 from antmicro/kr/fix_anonymous_enum"}},{"before":"07edf81f3a76cd2bc78996bc2c2a270f0c5c4238","after":"56f957caa573658015cc4256cd9ebf2a0cc70a19","ref":"refs/heads/main","pushedAt":"2023-06-01T12:54:06.484Z","pushType":"pr_merge","commitsCount":2,"pusher":{"login":"wsipak","name":null,"path":"/wsipak","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/48583927?s=80&v=4"},"commit":{"message":"Merge pull request #525 from antmicro/wsip/revert_expand_dot\n\nrevert setting current_struct_elem in expand_dot","shortMessageHtmlLink":"Merge pull request #525 from antmicro/wsip/revert_expand_dot"}},{"before":"4a05db9778b3ac45789e682940e0be73aaa1eacf","after":"07edf81f3a76cd2bc78996bc2c2a270f0c5c4238","ref":"refs/heads/main","pushedAt":"2023-06-01T09:59:45.000Z","pushType":"pr_merge","commitsCount":2,"pusher":{"login":"mandrys","name":"Magdalena Andrys","path":"/mandrys","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/55838283?s=80&v=4"},"commit":{"message":"Merge pull request #506 from antmicro/escaped-identifiers\n\nsv-plugin: Strip the node name using parent name instead of \".\"","shortMessageHtmlLink":"Merge pull request #506 from antmicro/escaped-identifiers"}},{"before":"38a8a5dd5a7bb880e1dbcbcb14e97d6e9917da3d","after":"4a05db9778b3ac45789e682940e0be73aaa1eacf","ref":"refs/heads/main","pushedAt":"2023-05-31T14:51:26.745Z","pushType":"pr_merge","commitsCount":3,"pusher":{"login":"wsipak","name":null,"path":"/wsipak","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/48583927?s=80&v=4"},"commit":{"message":"Merge pull request #520 from antmicro/wsip/dotranges_multirange\n\nsystemverilog-plugin: allow multirange access in dot access","shortMessageHtmlLink":"Merge pull request #520 from antmicro/wsip/dotranges_multirange"}},{"before":"730146f49eeae9021d31fae0f39b1e3568879b44","after":"38a8a5dd5a7bb880e1dbcbcb14e97d6e9917da3d","ref":"refs/heads/main","pushedAt":"2023-05-19T07:23:42.486Z","pushType":"pr_merge","commitsCount":2,"pusher":{"login":"kamilrakoczy","name":"Kamil Rakoczy","path":"/kamilrakoczy","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/11210823?s=80&v=4"},"commit":{"message":"Merge pull request #518 from antmicro/kr/split_line_column\n\nsystemverilog-plugin: split line and column in uhdmast_assert_log","shortMessageHtmlLink":"Merge pull request #518 from antmicro/kr/split_line_column"}},{"before":"ad16f150cb250b6ff58adfb05fcedb442490ea6e","after":"730146f49eeae9021d31fae0f39b1e3568879b44","ref":"refs/heads/main","pushedAt":"2023-05-18T06:24:58.782Z","pushType":"pr_merge","commitsCount":2,"pusher":{"login":"kamilrakoczy","name":"Kamil Rakoczy","path":"/kamilrakoczy","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/11210823?s=80&v=4"},"commit":{"message":"Merge pull request #519 from antmicro/kr/fix_unsized\n\nsystemverilog-plugin: fix parsing unsized unbased consts","shortMessageHtmlLink":"Merge pull request #519 from antmicro/kr/fix_unsized"}},{"before":"474724189715dd0d4fbb51d2f1cb2fa22f205722","after":"ad16f150cb250b6ff58adfb05fcedb442490ea6e","ref":"refs/heads/main","pushedAt":"2023-05-17T14:30:10.871Z","pushType":"pr_merge","commitsCount":2,"pusher":{"login":"hzeller","name":"Henner Zeller","path":"/hzeller","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/140937?s=80&v=4"},"commit":{"message":"Merge pull request #515 from hzeller/20230516-expand-env-var\n\nTcl-tests: expand environment variables.","shortMessageHtmlLink":"Merge pull request #515 from hzeller/20230516-expand-env-var"}},{"before":"2ec0e35c3ff9c2a2379ce5c802af52bd556f8d09","after":"474724189715dd0d4fbb51d2f1cb2fa22f205722","ref":"refs/heads/main","pushedAt":"2023-05-16T15:36:23.215Z","pushType":"pr_merge","commitsCount":2,"pusher":{"login":"mglb","name":"Mariusz Glebocki","path":"/mglb","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/4888536?s=80&v=4"},"commit":{"message":"Merge pull request #514 from antmicro/mglb/FixTests\n\nsystemverilog-plugin: Remove temporary test files.","shortMessageHtmlLink":"Merge pull request #514 from antmicro/mglb/FixTests"}},{"before":"f527ced01234b3af9deaef4e2f745ea9a4fb7919","after":"2ec0e35c3ff9c2a2379ce5c802af52bd556f8d09","ref":"refs/heads/main","pushedAt":"2023-05-16T10:22:12.038Z","pushType":"pr_merge","commitsCount":2,"pusher":{"login":"kamilrakoczy","name":"Kamil Rakoczy","path":"/kamilrakoczy","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/11210823?s=80&v=4"},"commit":{"message":"Merge pull request #512 from antmicro/kr/change_remove_message\n\nsystemverilog-plugin: update remove module message","shortMessageHtmlLink":"Merge pull request #512 from antmicro/kr/change_remove_message"}},{"before":"dd4cf9b3109ee033a63867887c32ed8526a87774","after":"f527ced01234b3af9deaef4e2f745ea9a4fb7919","ref":"refs/heads/main","pushedAt":"2023-05-16T10:04:22.715Z","pushType":"pr_merge","commitsCount":2,"pusher":{"login":"kamilrakoczy","name":"Kamil Rakoczy","path":"/kamilrakoczy","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/11210823?s=80&v=4"},"commit":{"message":"Merge pull request #513 from antmicro/kr/fix_packed_array\n\nsystemverilog-plugin: fix unnamed packed array","shortMessageHtmlLink":"Merge pull request #513 from antmicro/kr/fix_packed_array"}}],"hasNextPage":true,"hasPreviousPage":false,"activityType":"all","actor":null,"timePeriod":"all","sort":"DESC","perPage":30,"cursor":"Y3Vyc29yOnYyOpK7MjAyNC0wMS0yM1QxNjoxNDo1NC4wMDAwMDBazwAAAAPnEEkO","startCursor":"Y3Vyc29yOnYyOpK7MjAyNC0wMS0yM1QxNjoxNDo1NC4wMDAwMDBazwAAAAPnEEkO","endCursor":"Y3Vyc29yOnYyOpK7MjAyMy0wNS0xNlQxMDowNDoyMi43MTU3NTZazwAAAAMtpSxm"}},"title":"Activity ยท chipsalliance/yosys-f4pga-plugins"}