Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

mill testOnly fail #107

Open
pxs7 opened this issue May 17, 2022 · 1 comment
Open

mill testOnly fail #107

pxs7 opened this issue May 17, 2022 · 1 comment

Comments

@pxs7
Copy link

pxs7 commented May 17, 2022

gcd.GCDSpec *** ABORTED ***
java.lang.NoClassDefFoundError: chisel3/MultiIOModule
at chiseltest.internal.VerilatorBackendAnnotation$.(Testers2.scala:127)
at chiseltest.internal.VerilatorBackendAnnotation$.(Testers2.scala)
at chiseltest.experimental.ChiselTestCli.$init$(ChiselTestShell.scala:16)
at chiseltest.experimental.ChiselTestShell.(ChiselTestShell.scala:22)
at chiseltest.ChiselScalatestTester$TestBuilder.apply(ChiselScalatestTester.scala:26)
at gcd.GCDSpec.$anonfun$new$1(GCDSpec.scala:24)
at scala.runtime.java8.JFunction0$mcV$sp.apply(JFunction0$mcV$sp.java:23)
at org.scalatest.OutcomeOf.outcomeOf(OutcomeOf.scala:85)
at org.scalatest.OutcomeOf.outcomeOf$(OutcomeOf.scala:83)
at org.scalatest.OutcomeOf$.outcomeOf(OutcomeOf.scala:104)
...
Cause: java.lang.ClassNotFoundException: chisel3.MultiIOModule
at java.base/java.net.URLClassLoader.findClass(URLClassLoader.java:445)
at mill.api.ClassLoader$$anon$1.findClass(ClassLoader.scala:47)
at java.base/java.lang.ClassLoader.loadClass(ClassLoader.java:588)
at java.base/java.lang.ClassLoader.loadClass(ClassLoader.java:521)
at chiseltest.internal.VerilatorBackendAnnotation$.(Testers2.scala:127)
at chiseltest.internal.VerilatorBackendAnnotation$.(Testers2.scala)
at chiseltest.experimental.ChiselTestCli.$init$(ChiselTestShell.scala:16)
at chiseltest.experimental.ChiselTestShell.(ChiselTestShell.scala:22)
at chiseltest.ChiselScalatestTester$TestBuilder.apply(ChiselScalatestTester.scala:26)

@sequencer
Copy link
Member

sequencer commented May 18, 2022

I didn't see any MultiIOModule usage in this repository. IIRC, it has been deprecated in 3.4, and removed in 3.5.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

2 participants