Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

How to solve the problem related to the version of dependencies #9

Open
ajflskdl opened this issue Nov 3, 2020 · 1 comment
Open

Comments

@ajflskdl
Copy link

ajflskdl commented Nov 3, 2020

Hi,

I have a little problem. when I install and run this program.

There are what I installed below.

Ubuntu > 14.04
GCC > 5.5.0
G++ > 5.5.0
verilator > 3.856
SystemC > 2.3.0

I think that installation was success without any error.
However i can not complete to compile test-example.
e.g.)pwd: libsystemctlm-soc/tests/rtl-bridge/ace/

when i install systemc, i don't type any complie option such as -std=c++11.
so, i don't add any complie option when compile test-example, either.

there is the log, when i type "make"

No compile option

soc@ace$ make
g++ -MMD -Wall -Wno-strict-overflow -Wall -O3 -g -I /usr/local/systemc-2.3.0//include/ -DVM_TRACE=1 -I obj_dir -I /usr/share/verilator/include -I ../../../ -I ../../ -I . -I. -MMD -I/usr/share/verilator/include -I/usr/share/verilator/include/vltstd -DVL_PRINTF=printf -DVM_TRACE=1 -DVM_COVERAGE=0 -c -o ace-slv-test.o ace-slv-test.cc
In file included from ../../../traffic-generators/tg-tlm.h:39:0,
from ace-slv-test.cc:41:
../../../traffic-generators/data-transfer.h:74:3: warning: identifier ‘nullptr’ is a keyword in C++11 [-Wc++0x-compat]
data(nullptr),
^
../../../traffic-generators/data-transfer.h:30:9: warning: scoped enums only available with -std=c++11 or -std=gnu++11
enum : uint32_t {
^
../../../traffic-generators/data-transfer.h:86:27: error: expected ‘,’ or ‘...’ before ‘&&’ token
DataTransfer(DataTransfer&& other) :
^
../../../traffic-generators/data-transfer.h:86:35: error: invalid constructor; you probably meant ‘DataTransfer (const DataTransfer&)’
DataTransfer(DataTransfer&& other) :
^
../../../traffic-generators/data-transfer.h: In constructor ‘DataTransfer::DataTransfer(bool)’:
../../../traffic-generators/data-transfer.h:74:8: error: ‘nullptr’ was not declared in this scope
data(nullptr),
^
../../../traffic-generators/data-transfer.h:82:19: warning: extended initializer lists only available with -std=c++11 or -std=gnu++11
ext.gen_attr = {};
^
../../../traffic-generators/data-transfer.h:82:16: warning: extended initializer lists only available with -std=c++11 or -std=gnu++11
ext.gen_attr = {};
^
../../../traffic-generators/data-transfer.h: In copy constructor ‘DataTransfer::DataTransfer(const DataTransfer&)’:
../../../traffic-generators/data-transfer.h:167:8: error: ‘nullptr’ was not declared in this scope
data(nullptr),
^
In file included from ace-slv-test.cc:41:0:
../../../traffic-generators/tg-tlm.h: At global scope:
../../../traffic-generators/tg-tlm.h:100:24: error: expected ‘,’ or ‘...’ before ‘&&’ token
ThreadData(ThreadData&& d) :
^
../../../traffic-generators/tg-tlm.h:100:28: error: invalid constructor; you probably meant ‘TLMTrafficGenerator::ThreadData (const TLMTrafficGenerator::ThreadData&)’
ThreadData(ThreadData&& d) :
^
../../../traffic-generators/tg-tlm.h: In member function ‘void TLMTrafficGenerator::run()’:
../../../traffic-generators/tg-tlm.h:165:8: warning: ‘auto’ changes meaning in C++11; please remove it [-Wc++0x-compat]
for (auto& td : m_tData) {
^
../../../traffic-generators/tg-tlm.h:165:14: error: ISO C++ forbids declaration of ‘td’ with no type [-fpermissive]
for (auto& td : m_tData) {
^
../../../traffic-generators/tg-tlm.h:165:19: warning: range-based ‘for’ loops only available with -std=c++11 or -std=gnu++11
for (auto& td : m_tData) {
^
../../../traffic-generators/tg-tlm.h: In member function ‘void TLMTrafficGenerator::generate(ITrafficDesc*)’:
../../../traffic-generators/tg-tlm.h:218:31: error: ‘nullptr’ was not declared in this scope
trans.set_byte_enable_ptr(nullptr);
^
In file included from ace-slv-test.cc:42:0:
../../../traffic-generators/traffic-desc.h: At global scope:
../../../traffic-generators/traffic-desc.h:37:29: error: expected ‘,’ or ‘...’ before ‘&&’ token
TrafficDesc(DataTransferVec&& transfers) :
^
../../../traffic-generators/traffic-desc.h: In constructor ‘TrafficDesc::TrafficDesc(DataTransferVec)’:
../../../traffic-generators/traffic-desc.h:38:15: error: ‘transfers’ was not declared in this scope
m_transfers(transfers),
^
In file included from ace-slv-test.cc:43:0:
../../../traffic-generators/random-traffic.h: In member function ‘virtual unsigned char* RandomTraffic::getByteEnable()’:
../../../traffic-generators/random-traffic.h:139:36: error: ‘nullptr’ was not declared in this scope
return be_len ? m_byte_enables : nullptr;
^
../../../traffic-generators/random-traffic.h: In member function ‘virtual unsigned char* RandomTraffic::getExpect()’:
../../../traffic-generators/random-traffic.h:155:46: error: ‘nullptr’ was not declared in this scope
virtual unsigned char getExpect() { return nullptr; }
^
In file included from ace-slv-test.cc:52:0:
../../test-modules/signals-axi.h: At global scope:
../../test-modules/signals-axi.h:48:19: warning: ‘>>’ operator is treated as two right angle brackets in C++11 [-Wc++0x-compat]
sc_signal<sc_bv<3>> awprot;
^
../../test-modules/signals-axi.h:48:19: note: suggest parentheses around ‘>>’ expression
../../test-modules/signals-axi.h:48:22: error: ‘uint8_t RTL::AMBA::ACE::awprot(uint32_t)’ cannot appear in a constant-expression
sc_signal<sc_bv<3>> awprot;
^
../../test-modules/signals-axi.h:48:22: error: template argument 1 is invalid
../../test-modules/signals-axi.h:48:12: error: template argument 1 is invalid
sc_signal<sc_bv<3>> awprot;
^
../../test-modules/signals-axi.h:50:19: warning: ‘>>’ operator is treated as two right angle brackets in C++11 [-Wc++0x-compat]
sc_signal<sc_bv<4>> awregion;
^
../../test-modules/signals-axi.h:50:19: note: suggest parentheses around ‘>>’ expression
../../test-modules/signals-axi.h:50:22: error: ‘uint8_t RTL::AMBA::ACE::awregion(uint32_t)’ cannot appear in a constant-expression
sc_signal<sc_bv<4>> awregion;
^
../../test-modules/signals-axi.h:50:22: error: template argument 1 is invalid
../../test-modules/signals-axi.h:50:12: error: template argument 1 is invalid
sc_signal<sc_bv<4>> awregion;
^
../../test-modules/signals-axi.h:51:19: warning: ‘>>’ operator is treated as two right angle brackets in C++11 [-Wc++0x-compat]
sc_signal<sc_bv<4>> awqos;
^
../../test-modules/signals-axi.h:51:19: note: suggest parentheses around ‘>>’ expression
../../test-modules/signals-axi.h:51:22: error: ‘uint8_t RTL::AMBA::ACE::awqos(uint32_t)’ cannot appear in a constant-expression
sc_signal<sc_bv<4>> awqos;
^
../../test-modules/signals-axi.h:51:22: error: template argument 1 is invalid
../../test-modules/signals-axi.h:51:12: error: template argument 1 is invalid
sc_signal<sc_bv<4>> awqos;
^
../../test-modules/signals-axi.h:52:19: warning: ‘>>’ operator is treated as two right angle brackets in C++11 [-Wc++0x-compat]
sc_signal<sc_bv<4>> awcache;
^
../../test-modules/signals-axi.h:52:19: note: suggest parentheses around ‘>>’ expression
../../test-modules/signals-axi.h:52:22: error: ‘uint8_t RTL::AMBA::ACE::awcache(uint32_t)’ cannot appear in a constant-expression
sc_signal<sc_bv<4>> awcache;
^
../../test-modules/signals-axi.h:52:22: error: template argument 1 is invalid
../../test-modules/signals-axi.h:52:12: error: template argument 1 is invalid
sc_signal<sc_bv<4>> awcache;
^
../../test-modules/signals-axi.h:53:19: warning: ‘>>’ operator is treated as two right angle brackets in C++11 [-Wc++0x-compat]
sc_signal<sc_bv<2>> awburst;
^
../../test-modules/signals-axi.h:53:19: note: suggest parentheses around ‘>>’ expression
../../test-modules/signals-axi.h:53:22: error: ‘uint8_t RTL::AMBA::ACE::awburst(uint32_t)’ cannot appear in a constant-expression
sc_signal<sc_bv<2>> awburst;
^
../../test-modules/signals-axi.h:53:22: error: template argument 1 is invalid
../../test-modules/signals-axi.h:53:12: error: template argument 1 is invalid
sc_signal<sc_bv<2>> awburst;
^
../../test-modules/signals-axi.h:54:19: warning: ‘>>’ operator is treated as two right angle brackets in C++11 [-Wc++0x-compat]
sc_signal<sc_bv<3>> awsize;
^
../../test-modules/signals-axi.h:54:19: note: suggest parentheses around ‘>>’ expression
../../test-modules/signals-axi.h:54:22: error: ‘awsize’ was not declared in this scope
sc_signal<sc_bv<3>> awsize;
^
../../test-modules/signals-axi.h:54:22: error: template argument 1 is invalid
../../test-modules/signals-axi.h:54:12: error: template argument 1 is invalid
sc_signal<sc_bv<3>> awsize;
^
../../test-modules/signals-axi.h: In member function ‘void AXISignals<ADDR_WIDTH, DATA_WIDTH, ID_WIDTH, AxLEN_WIDTH, AxLOCK_WIDTH, AWUSER_WIDTH, ARUSER_WIDTH, WUSER_WIDTH, RUSER_WIDTH, BUSER_WIDTH>::connect(T&, const char
)’:
../../test-modules/signals-axi.h:111:32: error: ‘awsize’ was not declared in this scope
signal_connect(&dev, prefix, awsize);
^
../../test-modules/signals-axi.h: In member function ‘void AXISignals<ADDR_WIDTH, DATA_WIDTH, ID_WIDTH, AxLEN_WIDTH, AxLOCK_WIDTH, AWUSER_WIDTH, ARUSER_WIDTH, WUSER_WIDTH, RUSER_WIDTH, BUSER_WIDTH>::connect(T*)’:
../../test-modules/signals-axi.h:171:16: error: ‘awsize’ was not declared in this scope
dev->awsize(awsize);
^
../../test-modules/signals-axi.h: In member function ‘void AXISignals<ADDR_WIDTH, DATA_WIDTH, ID_WIDTH, AxLEN_WIDTH, AxLOCK_WIDTH, AWUSER_WIDTH, ARUSER_WIDTH, WUSER_WIDTH, RUSER_WIDTH, BUSER_WIDTH>::Trace(sc_core::sc_trace_file*)’:
../../test-modules/signals-axi.h:245:30: error: request for member ‘name’ in ‘RTL::AMBA::ACE::awprot’, which is of non-class type ‘uint8_t(uint32_t) {aka unsigned char(unsigned int)}’
sc_trace(f, awprot, awprot.name());
^
../../test-modules/signals-axi.h:247:34: error: request for member ‘name’ in ‘RTL::AMBA::ACE::awregion’, which is of non-class type ‘uint8_t(uint32_t) {aka unsigned char(unsigned int)}’
sc_trace(f, awregion, awregion.name());
^
../../test-modules/signals-axi.h:248:28: error: request for member ‘name’ in ‘RTL::AMBA::ACE::awqos’, which is of non-class type ‘uint8_t(uint32_t) {aka unsigned char(unsigned int)}’
sc_trace(f, awqos, awqos.name());
^
../../test-modules/signals-axi.h:249:32: error: request for member ‘name’ in ‘RTL::AMBA::ACE::awcache’, which is of non-class type ‘uint8_t(uint32_t) {aka unsigned char(unsigned int)}’
sc_trace(f, awcache, awcache.name());
^
../../test-modules/signals-axi.h:250:32: error: request for member ‘name’ in ‘RTL::AMBA::ACE::awburst’, which is of non-class type ‘uint8_t(uint32_t) {aka unsigned char(unsigned int)}’
sc_trace(f, awburst, awburst.name());
^
../../test-modules/signals-axi.h:251:15: error: ‘awsize’ was not declared in this scope
sc_trace(f, awsize, awsize.name());
^
../../test-modules/signals-axi.h: In constructor ‘AXISignals<ADDR_WIDTH, DATA_WIDTH, ID_WIDTH, AxLEN_WIDTH, AxLOCK_WIDTH, AWUSER_WIDTH, ARUSER_WIDTH, WUSER_WIDTH, RUSER_WIDTH, BUSER_WIDTH>::AXISignals(sc_core::sc_module_name, AXIVersion)’:
../../test-modules/signals-axi.h:308:3: error: class ‘AXISignals<ADDR_WIDTH, DATA_WIDTH, ID_WIDTH, AxLEN_WIDTH, AxLOCK_WIDTH, AWUSER_WIDTH, ARUSER_WIDTH, WUSER_WIDTH, RUSER_WIDTH, BUSER_WIDTH>’ does not have any field named ‘awprot’
awprot("awprot"),
^
../../test-modules/signals-axi.h:310:3: error: class ‘AXISignals<ADDR_WIDTH, DATA_WIDTH, ID_WIDTH, AxLEN_WIDTH, AxLOCK_WIDTH, AWUSER_WIDTH, ARUSER_WIDTH, WUSER_WIDTH, RUSER_WIDTH, BUSER_WIDTH>’ does not have any field named ‘awregion’
awregion("awregion"),
^
../../test-modules/signals-axi.h:311:3: error: class ‘AXISignals<ADDR_WIDTH, DATA_WIDTH, ID_WIDTH, AxLEN_WIDTH, AxLOCK_WIDTH, AWUSER_WIDTH, ARUSER_WIDTH, WUSER_WIDTH, RUSER_WIDTH, BUSER_WIDTH>’ does not have any field named ‘awqos’
awqos("awqos"),
^
../../test-modules/signals-axi.h:312:3: error: class ‘AXISignals<ADDR_WIDTH, DATA_WIDTH, ID_WIDTH, AxLEN_WIDTH, AxLOCK_WIDTH, AWUSER_WIDTH, ARUSER_WIDTH, WUSER_WIDTH, RUSER_WIDTH, BUSER_WIDTH>’ does not have any field named ‘awcache’
awcache("awcache"),
^
../../test-modules/signals-axi.h:313:3: error: class ‘AXISignals<ADDR_WIDTH, DATA_WIDTH, ID_WIDTH, AxLEN_WIDTH, AxLOCK_WIDTH, AWUSER_WIDTH, ARUSER_WIDTH, WUSER_WIDTH, RUSER_WIDTH, BUSER_WIDTH>’ does not have any field named ‘awburst’
awburst("awburst"),
^
../../test-modules/signals-axi.h:314:3: error: class ‘AXISignals<ADDR_WIDTH, DATA_WIDTH, ID_WIDTH, AxLEN_WIDTH, AxLOCK_WIDTH, AWUSER_WIDTH, ARUSER_WIDTH, WUSER_WIDTH, RUSER_WIDTH, BUSER_WIDTH>’ does not have any field named ‘awsize’
awsize("awsize"),
^
In file included from ace-slv-test.cc:53:0:
../../test-modules/signals-ace.h: At global scope:
../../test-modules/signals-ace.h:49:19: warning: ‘>>’ operator is treated as two right angle brackets in C++11 [-Wc++0x-compat]
sc_signal<sc_bv<3>> awprot;
^
../../test-modules/signals-ace.h:49:19: note: suggest parentheses around ‘>>’ expression
../../test-modules/signals-ace.h:49:22: error: ‘uint8_t RTL::AMBA::ACE::awprot(uint32_t)’ cannot appear in a constant-expression
sc_signal<sc_bv<3>> awprot;
^
../../test-modules/signals-ace.h:49:22: error: template argument 1 is invalid
../../test-modules/signals-ace.h:49:12: error: template argument 1 is invalid
sc_signal<sc_bv<3>> awprot;
^
../../test-modules/signals-ace.h:51:19: warning: ‘>>’ operator is treated as two right angle brackets in C++11 [-Wc++0x-compat]
sc_signal<sc_bv<4>> awregion;
^
../../test-modules/signals-ace.h:51:19: note: suggest parentheses around ‘>>’ expression
../../test-modules/signals-ace.h:51:22: error: ‘uint8_t RTL::AMBA::ACE::awregion(uint32_t)’ cannot appear in a constant-expression
sc_signal<sc_bv<4>> awregion;
^
../../test-modules/signals-ace.h:51:22: error: template argument 1 is invalid
../../test-modules/signals-ace.h:51:12: error: template argument 1 is invalid
sc_signal<sc_bv<4>> awregion;
^
../../test-modules/signals-ace.h:52:19: warning: ‘>>’ operator is treated as two right angle brackets in C++11 [-Wc++0x-compat]
sc_signal<sc_bv<4>> awqos;
^
../../test-modules/signals-ace.h:52:19: note: suggest parentheses around ‘>>’ expression
../../test-modules/signals-ace.h:52:22: error: ‘uint8_t RTL::AMBA::ACE::awqos(uint32_t)’ cannot appear in a constant-expression
sc_signal<sc_bv<4>> awqos;
^
../../test-modules/signals-ace.h:52:22: error: template argument 1 is invalid
../../test-modules/signals-ace.h:52:12: error: template argument 1 is invalid
sc_signal<sc_bv<4>> awqos;
^
../../test-modules/signals-ace.h:53:19: warning: ‘>>’ operator is treated as two right angle brackets in C++11 [-Wc++0x-compat]
sc_signal<sc_bv<4>> awcache;
^
../../test-modules/signals-ace.h:53:19: note: suggest parentheses around ‘>>’ expression
../../test-modules/signals-ace.h:53:22: error: ‘uint8_t RTL::AMBA::ACE::awcache(uint32_t)’ cannot appear in a constant-expression
sc_signal<sc_bv<4>> awcache;
^
../../test-modules/signals-ace.h:53:22: error: template argument 1 is invalid
../../test-modules/signals-ace.h:53:12: error: template argument 1 is invalid
sc_signal<sc_bv<4>> awcache;
^
../../test-modules/signals-ace.h:54:19: warning: ‘>>’ operator is treated as two right angle brackets in C++11 [-Wc++0x-compat]
sc_signal<sc_bv<2>> awburst;
^
../../test-modules/signals-ace.h:54:19: note: suggest parentheses around ‘>>’ expression
../../test-modules/signals-ace.h:54:22: error: ‘uint8_t RTL::AMBA::ACE::awburst(uint32_t)’ cannot appear in a constant-expression
sc_signal<sc_bv<2>> awburst;
^
../../test-modules/signals-ace.h:54:22: error: template argument 1 is invalid
../../test-modules/signals-ace.h:54:12: error: template argument 1 is invalid
sc_signal<sc_bv<2>> awburst;
^
../../test-modules/signals-ace.h:55:19: warning: ‘>>’ operator is treated as two right angle brackets in C++11 [-Wc++0x-compat]
sc_signal<sc_bv<3>> awsize;
^
../../test-modules/signals-ace.h:55:19: note: suggest parentheses around ‘>>’ expression
../../test-modules/signals-ace.h:55:22: error: ‘awsize’ was not declared in this scope
sc_signal<sc_bv<3>> awsize;
^
../../test-modules/signals-ace.h:55:22: error: template argument 1 is invalid
../../test-modules/signals-ace.h:55:12: error: template argument 1 is invalid
sc_signal<sc_bv<3>> awsize;
^
../../test-modules/signals-ace.h: In member function ‘void ACESignals<ADDR_WIDTH, DATA_WIDTH, ID_WIDTH, AxLEN_WIDTH, AxLOCK_WIDTH, AWUSER_WIDTH, ARUSER_WIDTH, WUSER_WIDTH, RUSER_WIDTH, BUSER_WIDTH, CD_DATA_WIDTH>::connect(T*)’:
../../test-modules/signals-ace.h:142:15: error: ‘awsize’ was not declared in this scope
dev->awsize(awsize);
^
../../test-modules/signals-ace.h: In member function ‘void ACESignals<ADDR_WIDTH, DATA_WIDTH, ID_WIDTH, AxLEN_WIDTH, AxLOCK_WIDTH, AWUSER_WIDTH, ARUSER_WIDTH, WUSER_WIDTH, RUSER_WIDTH, BUSER_WIDTH, CD_DATA_WIDTH>::Trace(sc_core::sc_trace_file*)’:
../../test-modules/signals-ace.h:230:30: error: request for member ‘name’ in ‘RTL::AMBA::ACE::awprot’, which is of non-class type ‘uint8_t(uint32_t) {aka unsigned char(unsigned int)}’
sc_trace(f, awprot, awprot.name());
^
../../test-modules/signals-ace.h:232:34: error: request for member ‘name’ in ‘RTL::AMBA::ACE::awregion’, which is of non-class type ‘uint8_t(uint32_t) {aka unsigned char(unsigned int)}’
sc_trace(f, awregion, awregion.name());
^
../../test-modules/signals-ace.h:233:28: error: request for member ‘name’ in ‘RTL::AMBA::ACE::awqos’, which is of non-class type ‘uint8_t(uint32_t) {aka unsigned char(unsigned int)}’
sc_trace(f, awqos, awqos.name());
^
../../test-modules/signals-ace.h:234:32: error: request for member ‘name’ in ‘RTL::AMBA::ACE::awcache’, which is of non-class type ‘uint8_t(uint32_t) {aka unsigned char(unsigned int)}’
sc_trace(f, awcache, awcache.name());
^
../../test-modules/signals-ace.h:235:32: error: request for member ‘name’ in ‘RTL::AMBA::ACE::awburst’, which is of non-class type ‘uint8_t(uint32_t) {aka unsigned char(unsigned int)}’
sc_trace(f, awburst, awburst.name());
^
../../test-modules/signals-ace.h:236:15: error: ‘awsize’ was not declared in this scope
sc_trace(f, awsize, awsize.name());
^
../../test-modules/signals-ace.h: In constructor ‘ACESignals<ADDR_WIDTH, DATA_WIDTH, ID_WIDTH, AxLEN_WIDTH, AxLOCK_WIDTH, AWUSER_WIDTH, ARUSER_WIDTH, WUSER_WIDTH, RUSER_WIDTH, BUSER_WIDTH, CD_DATA_WIDTH>::ACESignals(sc_core::sc_module_name)’:
../../test-modules/signals-ace.h:320:3: error: class ‘ACESignals<ADDR_WIDTH, DATA_WIDTH, ID_WIDTH, AxLEN_WIDTH, AxLOCK_WIDTH, AWUSER_WIDTH, ARUSER_WIDTH, WUSER_WIDTH, RUSER_WIDTH, BUSER_WIDTH, CD_DATA_WIDTH>’ does not have any field named ‘awprot’
awprot("awprot"),
^
../../test-modules/signals-ace.h:322:3: error: class ‘ACESignals<ADDR_WIDTH, DATA_WIDTH, ID_WIDTH, AxLEN_WIDTH, AxLOCK_WIDTH, AWUSER_WIDTH, ARUSER_WIDTH, WUSER_WIDTH, RUSER_WIDTH, BUSER_WIDTH, CD_DATA_WIDTH>’ does not have any field named ‘awregion’
awregion("awregion"),
^
../../test-modules/signals-ace.h:323:3: error: class ‘ACESignals<ADDR_WIDTH, DATA_WIDTH, ID_WIDTH, AxLEN_WIDTH, AxLOCK_WIDTH, AWUSER_WIDTH, ARUSER_WIDTH, WUSER_WIDTH, RUSER_WIDTH, BUSER_WIDTH, CD_DATA_WIDTH>’ does not have any field named ‘awqos’
awqos("awqos"),
^
../../test-modules/signals-ace.h:324:3: error: class ‘ACESignals<ADDR_WIDTH, DATA_WIDTH, ID_WIDTH, AxLEN_WIDTH, AxLOCK_WIDTH, AWUSER_WIDTH, ARUSER_WIDTH, WUSER_WIDTH, RUSER_WIDTH, BUSER_WIDTH, CD_DATA_WIDTH>’ does not have any field named ‘awcache’
awcache("awcache"),
^
../../test-modules/signals-ace.h:325:3: error: class ‘ACESignals<ADDR_WIDTH, DATA_WIDTH, ID_WIDTH, AxLEN_WIDTH, AxLOCK_WIDTH, AWUSER_WIDTH, ARUSER_WIDTH, WUSER_WIDTH, RUSER_WIDTH, BUSER_WIDTH, CD_DATA_WIDTH>’ does not have any field named ‘awburst’
awburst("awburst"),
^
../../test-modules/signals-ace.h:326:3: error: class ‘ACESignals<ADDR_WIDTH, DATA_WIDTH, ID_WIDTH, AxLEN_WIDTH, AxLOCK_WIDTH, AWUSER_WIDTH, ARUSER_WIDTH, WUSER_WIDTH, RUSER_WIDTH, BUSER_WIDTH, CD_DATA_WIDTH>’ does not have any field named ‘awsize’
awsize("awsize"),
^
In file included from ../../test-modules/utils-ace.h:26:0,
from ace-slv-test.cc:54:
../../test-modules/utils.h: At global scope:
../../test-modules/utils.h:28:8: warning: scoped enums only available with -std=c++11 or -std=gnu++11
enum : uint32_t {
^
../../test-modules/utils.h: In function ‘DataTransferVec utils::merge(DataTransferVec)’:
../../test-modules/utils.h:39:7: warning: ‘auto’ changes meaning in C++11; please remove it [-Wc++0x-compat]
for (auto& t : transfers) {
^
../../test-modules/utils.h:39:13: error: ISO C++ forbids declaration of ‘t’ with no type [-fpermissive]
for (auto& t : transfers) {
^
../../test-modules/utils.h:39:17: warning: range-based ‘for’ loops only available with -std=c++11 or -std=gnu++11
for (auto& t : transfers) {
^
../../test-modules/utils.h:44:10: error: request for member ‘cmd’ in ‘t’, which is of non-class type ‘int’
if (t.cmd == BYTE_ENABLE) {
^
../../test-modules/utils.h:45:26: error: request for member ‘byte_enable’ in ‘t’, which is of non-class type ‘int’
last.byte_enable = t.byte_enable;
^
../../test-modules/utils.h:46:33: error: request for member ‘byte_enable_length’ in ‘t’, which is of non-class type ‘int’
last.byte_enable_length = t.byte_enable_length;
^
../../test-modules/utils.h:49:7: error: request for member ‘byte_enable’ in ‘t’, which is of non-class type ‘int’
t.byte_enable = nullptr;
^
../../test-modules/utils.h:49:21: error: ‘nullptr’ was not declared in this scope
t.byte_enable = nullptr;
^
../../test-modules/utils.h:50:17: error: request for member ‘cmd’ in ‘t’, which is of non-class type ‘int’
} else if (t.cmd == STREAMING_WIDTH) {
^
../../test-modules/utils.h:51:30: error: request for member ‘streaming_width’ in ‘t’, which is of non-class type ‘int’
last.streaming_width = t.streaming_width;
^
../../test-modules/utils.h:52:17: error: request for member ‘cmd’ in ‘t’, which is of non-class type ‘int’
} else if (t.cmd == EXPECT) {
^
../../test-modules/utils.h:53:21: error: request for member ‘expect’ in ‘t’, which is of non-class type ‘int’
last.expect = t.expect;
^
../../test-modules/utils.h:56:7: error: request for member ‘expect’ in ‘t’, which is of non-class type ‘int’
t.expect = nullptr;
^
../../test-modules/utils.h:56:16: error: ‘nullptr’ was not declared in this scope
t.expect = nullptr;
^
../../test-modules/utils.h:57:17: error: request for member ‘cmd’ in ‘t’, which is of non-class type ‘int’
} else if (t.cmd == EXT_GEN_ATTR) {
^
../../test-modules/utils.h:58:27: error: request for member ‘ext’ in ‘t’, which is of non-class type ‘int’
last.ext.gen_attr = t.ext.gen_attr;
^
../../test-modules/utils.h:62:9: error: request for member ‘cmd’ in ‘t’, which is of non-class type ‘int’
if (t.cmd == DataTransfer::READ ||
^
../../test-modules/utils.h:63:7: error: request for member ‘cmd’ in ‘t’, which is of non-class type ‘int’
t.cmd == DataTransfer::WRITE ||
^
../../test-modules/utils.h:64:7: error: request for member ‘cmd’ in ‘t’, which is of non-class type ‘int’
t.cmd == DataTransfer::IGNORE ) {
^
In file included from ace-slv-test.cc:60:0:
../../test-modules/signals-axilite.h: At global scope:
../../test-modules/signals-axilite.h:39:19: warning: ‘>>’ operator is treated as two right angle brackets in C++11 [-Wc++0x-compat]
sc_signal<sc_bv<3>> awprot;
^
../../test-modules/signals-axilite.h:39:19: note: suggest parentheses around ‘>>’ expression
../../test-modules/signals-axilite.h:39:22: error: ‘uint8_t RTL::AMBA::ACE::awprot(uint32_t)’ cannot appear in a constant-expression
sc_signal<sc_bv<3>> awprot;
^
../../test-modules/signals-axilite.h:39:22: error: template argument 1 is invalid
../../test-modules/signals-axilite.h:39:12: error: template argument 1 is invalid
sc_signal<sc_bv<3>> awprot;
^
../../test-modules/signals-axilite.h: In member function ‘void AXILiteSignals<ADDR_WIDTH, DATA_WIDTH>::Trace(sc_core::sc_trace_file*)’:
../../test-modules/signals-axilite.h:130:30: error: request for member ‘name’ in ‘RTL::AMBA::ACE::awprot’, which is of non-class type ‘uint8_t(uint32_t) {aka unsigned char(unsigned int)}’
sc_trace(f, awprot, awprot.name());
^
../../test-modules/signals-axilite.h: In constructor ‘AXILiteSignals<ADDR_WIDTH, DATA_WIDTH>::AXILiteSignals(sc_core::sc_module_name, AXIVersion)’:
../../test-modules/signals-axilite.h:167:3: error: class ‘AXILiteSignals<ADDR_WIDTH, DATA_WIDTH>’ does not have any field named ‘awprot’
awprot("awprot"),
^
ace-slv-test.cc: At global scope:
ace-slv-test.cc:194:25: warning: extended initializer lists only available with -std=c++11 or -std=gnu++11
TrafficDesc xfers(merge({
^
ace-slv-test.cc:246:2: error: no matching function for call to ‘merge()’
}));
^
In file included from ../../test-modules/utils-ace.h:26:0,
from ace-slv-test.cc:54:
../../test-modules/utils.h:35:17: note: candidate: DataTransferVec utils::merge(DataTransferVec)
DataTransferVec merge(DataTransferVec transfers)
^
../../test-modules/utils.h:35:17: note: no known conversion for argument 1 from ‘’ to ‘DataTransferVec {aka std::vector}’
In file included from /usr/include/c++/5/algorithm:62:0,
from /usr/local/systemc-2.3.0//include/tlm_core/tlm_1/tlm_analysis/tlm_analysis_port.h:25,
from /usr/local/systemc-2.3.0//include/tlm_core/tlm_1/tlm_analysis/tlm_analysis.h:28,
from /usr/local/systemc-2.3.0//include/tlm:26,
from /usr/local/systemc-2.3.0//include/tlm.h:22,
from ace-slv-test.cc:33:
/usr/include/c++/5/bits/stl_algo.h:4827:5: note: candidate: template<class _IIter1, class _IIter2, class _OIter, class _Compare> _OIter std::merge(_IIter1, _IIter1, _IIter2, _IIter2, _OIter, _Compare)
merge(InputIterator1 first1, InputIterator1 last1,
^
/usr/include/c++/5/bits/stl_algo.h:4827:5: note: template argument deduction/substitution failed:
ace-slv-test.cc:246:2: note: candidate expects 6 arguments, 1 provided
}));
^
In file included from /usr/include/c++/5/algorithm:62:0,
from /usr/local/systemc-2.3.0//include/tlm_core/tlm_1/tlm_analysis/tlm_analysis_port.h:25,
from /usr/local/systemc-2.3.0//include/tlm_core/tlm_1/tlm_analysis/tlm_analysis.h:28,
from /usr/local/systemc-2.3.0//include/tlm:26,
from /usr/local/systemc-2.3.0//include/tlm.h:22,
from ace-slv-test.cc:33:
/usr/include/c++/5/bits/stl_algo.h:4779:5: note: candidate: template<class IIter1, class IIter2, class OIter> OIter std::merge(IIter1, IIter1, IIter2, IIter2, OIter)
merge(InputIterator1 first1, InputIterator1 last1,
^
/usr/include/c++/5/bits/stl_algo.h:4779:5: note: template argument deduction/substitution failed:
ace-slv-test.cc:246:2: note: candidate expects 5 arguments, 1 provided
}));
^
ace-slv-test.cc: In member function ‘void Top::connect_axilite_dut()’:
ace-slv-test.cc:489:33: error: ‘class AXILiteSignals<64, 32>’ has no member named ‘awprot’
dut.s_axi_awprot(signals_host.awprot);
^
ace-slv-test.cc: In member function ‘void Top::connect_ace_signals_dut()’:
ace-slv-test.cc:516:40: error: ‘ACESignals_t {aka class ACESignals<64, 128, 16, 8, 1, 32, 32, 32, 32, 32, 128>}’ has no member named ‘awprot’
dut.s_ace_usr_awprot(signals_ace_dut.awprot);
^
ace-slv-test.cc:518:42: error: ‘ACESignals_t {aka class ACESignals<64, 128, 16, 8, 1, 32, 32, 32, 32, 32, 128>}’ has no member named ‘awregion’
dut.s_ace_usr_awregion(signals_ace_dut.awregion);
^
ace-slv-test.cc:519:39: error: ‘ACESignals_t {aka class ACESignals<64, 128, 16, 8, 1, 32, 32, 32, 32, 32, 128>}’ has no member named ‘awqos’
dut.s_ace_usr_awqos(signals_ace_dut.awqos);
^
ace-slv-test.cc:520:41: error: ‘ACESignals_t {aka class ACESignals<64, 128, 16, 8, 1, 32, 32, 32, 32, 32, 128>}’ has no member named ‘awcache’
dut.s_ace_usr_awcache(signals_ace_dut.awcache);
^
ace-slv-test.cc:521:41: error: ‘ACESignals_t {aka class ACESignals<64, 128, 16, 8, 1, 32, 32, 32, 32, 32, 128>}’ has no member named ‘awburst’
dut.s_ace_usr_awburst(signals_ace_dut.awburst);
^
ace-slv-test.cc:522:40: error: ‘ACESignals_t {aka class ACESignals<64, 128, 16, 8, 1, 32, 32, 32, 32, 32, 128>}’ has no member named ‘awsize’
dut.s_ace_usr_awsize(signals_ace_dut.awsize);
^
In file included from ace-slv-test.cc:53:0:
../../test-modules/signals-ace.h: In instantiation of ‘void ACESignals<ADDR_WIDTH, DATA_WIDTH, ID_WIDTH, AxLEN_WIDTH, AxLOCK_WIDTH, AWUSER_WIDTH, ARUSER_WIDTH, WUSER_WIDTH, RUSER_WIDTH, BUSER_WIDTH, CD_DATA_WIDTH>::connect(T*) [with T = tlm2ace_bridge<64, 128, 16, 8, 1, 32, 32, 32, 32, 32, 64, 128>; int ADDR_WIDTH = 64; int DATA_WIDTH = 128; int ID_WIDTH = 16; int AxLEN_WIDTH = 8; int AxLOCK_WIDTH = 1; int AWUSER_WIDTH = 32; int ARUSER_WIDTH = 32; int WUSER_WIDTH = 32; int RUSER_WIDTH = 32; int BUSER_WIDTH = 32; int CD_DATA_WIDTH = 128]’:
ace-slv-test.cc:382:39: required from here
../../test-modules/signals-ace.h:134:3: error: no match for call to ‘(sc_core::sc_out<sc_dt::sc_bv<3> >) (uint8_t (&)(uint32_t))’
dev->awprot(awprot);
^
In file included from /usr/local/systemc-2.3.0//include/sysc/communication/sc_signal.h:32:0,
from /usr/local/systemc-2.3.0//include/sysc/communication/sc_buffer.h:34,
from /usr/local/systemc-2.3.0//include/systemc:79,
from ace-slv-test.cc:28:
/usr/local/systemc-2.3.0//include/sysc/communication/sc_port.h:272:10: note: candidate: void sc_core::sc_port_b::operator()(IF&) [with IF = sc_core::sc_signal_inout_if<sc_dt::sc_bv<3> >]
void operator () ( IF& interface
)
^
/usr/local/systemc-2.3.0//include/sysc/communication/sc_port.h:272:10: note: no known conversion for argument 1 from ‘uint8_t(uint32_t) {aka unsigned char(unsigned int)}’ to ‘sc_core::sc_signal_inout_if<sc_dt::sc_bv<3> >&’
/usr/local/systemc-2.3.0//include/sysc/communication/sc_port.h:281:10: note: candidate: void sc_core::sc_port_b::operator()(sc_core::sc_port_b::port_type&) [with IF = sc_core::sc_signal_inout_if<sc_dt::sc_bv<3> >; sc_core::sc_port_b::port_type = sc_core::sc_port_b<sc_core::sc_signal_inout_if<sc_dt::sc_bv<3> > >]
void operator () ( port_type& parent
)
^
/usr/local/systemc-2.3.0//include/sysc/communication/sc_port.h:281:10: note: no known conversion for argument 1 from ‘uint8_t(uint32_t) {aka unsigned char(unsigned int)}’ to ‘sc_core::sc_port_b<sc_core::sc_signal_inout_if<sc_dt::sc_bv<3> > >::port_type& {aka sc_core::sc_port_b<sc_core::sc_signal_inout_if<sc_dt::sc_bv<3> > >&}’
In file included from ace-slv-test.cc:53:0:
../../test-modules/signals-ace.h:138:3: error: no match for call to ‘(sc_core::sc_out<sc_dt::sc_bv<4> >) (uint8_t (&)(uint32_t))’
dev->awregion(awregion);
^
In file included from /usr/local/systemc-2.3.0//include/sysc/communication/sc_signal.h:32:0,
from /usr/local/systemc-2.3.0//include/sysc/communication/sc_buffer.h:34,
from /usr/local/systemc-2.3.0//include/systemc:79,
from ace-slv-test.cc:28:
/usr/local/systemc-2.3.0//include/sysc/communication/sc_port.h:272:10: note: candidate: void sc_core::sc_port_b::operator()(IF&) [with IF = sc_core::sc_signal_inout_if<sc_dt::sc_bv<4> >]
void operator () ( IF& interface
)
^
/usr/local/systemc-2.3.0//include/sysc/communication/sc_port.h:272:10: note: no known conversion for argument 1 from ‘uint8_t(uint32_t) {aka unsigned char(unsigned int)}’ to ‘sc_core::sc_signal_inout_if<sc_dt::sc_bv<4> >&’
/usr/local/systemc-2.3.0//include/sysc/communication/sc_port.h:281:10: note: candidate: void sc_core::sc_port_b::operator()(sc_core::sc_port_b::port_type&) [with IF = sc_core::sc_signal_inout_if<sc_dt::sc_bv<4> >; sc_core::sc_port_b::port_type = sc_core::sc_port_b<sc_core::sc_signal_inout_if<sc_dt::sc_bv<4> > >]
void operator () ( port_type& parent )
^
/usr/local/systemc-2.3.0//include/sysc/communication/sc_port.h:281:10: note: no known conversion for argument 1 from ‘uint8_t(uint32_t) {aka unsigned char(unsigned int)}’ to ‘sc_core::sc_port_b<sc_core::sc_signal_inout_if<sc_dt::sc_bv<4> > >::port_type& {aka sc_core::sc_port_b<sc_core::sc_signal_inout_if<sc_dt::sc_bv<4> > >&}’
In file included from ace-slv-test.cc:53:0:
../../test-modules/signals-ace.h:139:3: error: no match for call to ‘(sc_core::sc_out<sc_dt::sc_bv<4> >) (uint8_t (&)(uint32_t))’
dev->awqos(awqos);
^
In file included from /usr/local/systemc-2.3.0//include/sysc/communication/sc_signal.h:32:0,
from /usr/local/systemc-2.3.0//include/sysc/communication/sc_buffer.h:34,
from /usr/local/systemc-2.3.0//include/systemc:79,
from ace-slv-test.cc:28:
/usr/local/systemc-2.3.0//include/sysc/communication/sc_port.h:272:10: note: candidate: void sc_core::sc_port_b::operator()(IF&) [with IF = sc_core::sc_signal_inout_if<sc_dt::sc_bv<4> >]
void operator () ( IF& interface )
^
/usr/local/systemc-2.3.0//include/sysc/communication/sc_port.h:272:10: note: no known conversion for argument 1 from ‘uint8_t(uint32_t) {aka unsigned char(unsigned int)}’ to ‘sc_core::sc_signal_inout_if<sc_dt::sc_bv<4> >&’
/usr/local/systemc-2.3.0//include/sysc/communication/sc_port.h:281:10: note: candidate: void sc_core::sc_port_b::operator()(sc_core::sc_port_b::port_type&) [with IF = sc_core::sc_signal_inout_if<sc_dt::sc_bv<4> >; sc_core::sc_port_b::port_type = sc_core::sc_port_b<sc_core::sc_signal_inout_if<sc_dt::sc_bv<4> > >]
void operator () ( port_type& parent )
^
/usr/local/systemc-2.3.0//include/sysc/communication/sc_port.h:281:10: note: no known conversion for argument 1 from ‘uint8_t(uint32_t) {aka unsigned char(unsigned int)}’ to ‘sc_core::sc_port_b<sc_core::sc_signal_inout_if<sc_dt::sc_bv<4> > >::port_type& {aka sc_core::sc_port_b<sc_core::sc_signal_inout_if<sc_dt::sc_bv<4> > >&}’
In file included from ace-slv-test.cc:53:0:
../../test-modules/signals-ace.h:140:3: error: no match for call to ‘(sc_core::sc_out<sc_dt::sc_bv<4> >) (uint8_t (&)(uint32_t))’
dev->awcache(awcache);
^
In file included from /usr/local/systemc-2.3.0//include/sysc/communication/sc_signal.h:32:0,
from /usr/local/systemc-2.3.0//include/sysc/communication/sc_buffer.h:34,
from /usr/local/systemc-2.3.0//include/systemc:79,
from ace-slv-test.cc:28:
/usr/local/systemc-2.3.0//include/sysc/communication/sc_port.h:272:10: note: candidate: void sc_core::sc_port_b::operator()(IF&) [with IF = sc_core::sc_signal_inout_if<sc_dt::sc_bv<4> >]
void operator () ( IF& interface )
^
/usr/local/systemc-2.3.0//include/sysc/communication/sc_port.h:272:10: note: no known conversion for argument 1 from ‘uint8_t(uint32_t) {aka unsigned char(unsigned int)}’ to ‘sc_core::sc_signal_inout_if<sc_dt::sc_bv<4> >&’
/usr/local/systemc-2.3.0//include/sysc/communication/sc_port.h:281:10: note: candidate: void sc_core::sc_port_b::operator()(sc_core::sc_port_b::port_type&) [with IF = sc_core::sc_signal_inout_if<sc_dt::sc_bv<4> >; sc_core::sc_port_b::port_type = sc_core::sc_port_b<sc_core::sc_signal_inout_if<sc_dt::sc_bv<4> > >]
void operator () ( port_type& parent )
^
/usr/local/systemc-2.3.0//include/sysc/communication/sc_port.h:281:10: note: no known conversion for argument 1 from ‘uint8_t(uint32_t) {aka unsigned char(unsigned int)}’ to ‘sc_core::sc_port_b<sc_core::sc_signal_inout_if<sc_dt::sc_bv<4> > >::port_type& {aka sc_core::sc_port_b<sc_core::sc_signal_inout_if<sc_dt::sc_bv<4> > >&}’
In file included from ace-slv-test.cc:53:0:
../../test-modules/signals-ace.h:141:3: error: no match for call to ‘(sc_core::sc_out<sc_dt::sc_bv<2> >) (uint8_t (&)(uint32_t))’
dev->awburst(awburst);
^
In file included from /usr/local/systemc-2.3.0//include/sysc/communication/sc_signal.h:32:0,
from /usr/local/systemc-2.3.0//include/sysc/communication/sc_buffer.h:34,
from /usr/local/systemc-2.3.0//include/systemc:79,
from ace-slv-test.cc:28:
/usr/local/systemc-2.3.0//include/sysc/communication/sc_port.h:272:10: note: candidate: void sc_core::sc_port_b::operator()(IF&) [with IF = sc_core::sc_signal_inout_if<sc_dt::sc_bv<2> >]
void operator () ( IF& interface )
^
/usr/local/systemc-2.3.0//include/sysc/communication/sc_port.h:272:10: note: no known conversion for argument 1 from ‘uint8_t(uint32_t) {aka unsigned char(unsigned int)}’ to ‘sc_core::sc_signal_inout_if<sc_dt::sc_bv<2> >&’
/usr/local/systemc-2.3.0//include/sysc/communication/sc_port.h:281:10: note: candidate: void sc_core::sc_port_b::operator()(sc_core::sc_port_b::port_type&) [with IF = sc_core::sc_signal_inout_if<sc_dt::sc_bv<2> >; sc_core::sc_port_b::port_type = sc_core::sc_port_b<sc_core::sc_signal_inout_if<sc_dt::sc_bv<2> > >]
void operator () ( port_type& parent )
^
/usr/local/systemc-2.3.0//include/sysc/communication/sc_port.h:281:10: note: no known conversion for argument 1 from ‘uint8_t(uint32_t) {aka unsigned char(unsigned int)}’ to ‘sc_core::sc_port_b<sc_core::sc_signal_inout_if<sc_dt::sc_bv<2> > >::port_type& {aka sc_core::sc_port_b<sc_core::sc_signal_inout_if<sc_dt::sc_bv<2> > >&}’
In file included from ace-slv-test.cc:52:0:
../../test-modules/signals-axi.h: In instantiation of ‘void AXISignals<ADDR_WIDTH, DATA_WIDTH, ID_WIDTH, AxLEN_WIDTH, AxLOCK_WIDTH, AWUSER_WIDTH, ARUSER_WIDTH, WUSER_WIDTH, RUSER_WIDTH, BUSER_WIDTH>::connect(T&, const char*) [with T = Vace_slv; int ADDR_WIDTH = 64; int DATA_WIDTH = 128; int ID_WIDTH = 16; int AxLEN_WIDTH = 8; int AxLOCK_WIDTH = 1; int AWUSER_WIDTH = 32; int ARUSER_WIDTH = 32; int WUSER_WIDTH = 32; int RUSER_WIDTH = 32; int BUSER_WIDTH = 32]’:
ace-slv-test.cc:418:41: required from here
../../test-modules/signals-axi.h:105:17: error: no matching function for call to ‘signal_connect(Vace_slv*, const char*&, uint8_t (&)(uint32_t))’
signal_connect(&dev, prefix, awprot);
^
In file included from ../../test-modules/signals-axi.h:28:0,
from ace-slv-test.cc:52:
../../test-modules/signals-common.h:53:20: note: candidate: template void signal_connect(sc_core::sc_object*, const char*, sc_core::sc_signal&, bool)
static inline void signal_connect(sc_object dev,
^
../../test-modules/signals-common.h:53:20: note: template argument deduction/substitution failed:
In file included from ace-slv-test.cc:52:0:
../../test-modules/signals-axi.h:105:17: note: mismatched types ‘sc_core::sc_signal’ and ‘uint8_t(uint32_t) {aka unsigned char(unsigned int)}’
signal_connect(&dev, prefix, awprot);
^
../../test-modules/signals-axi.h:107:26: error: no matching function for call to ‘signal_connect_optional(Vace_slv
, const char*&, uint8_t (&)(uint32_t))’
signal_connect_optional(&dev, prefix, awregion);
^
In file included from ../../test-modules/signals-axi.h:28:0,
from ace-slv-test.cc:52:
../../test-modules/signals-common.h:95:20: note: candidate: template void signal_connect_optional(sc_core::sc_object*, const char*, sc_core::sc_signal&)
static inline void signal_connect_optional(sc_object dev,
^
../../test-modules/signals-common.h:95:20: note: template argument deduction/substitution failed:
In file included from ace-slv-test.cc:52:0:
../../test-modules/signals-axi.h:107:26: note: mismatched types ‘sc_core::sc_signal’ and ‘uint8_t(uint32_t) {aka unsigned char(unsigned int)}’
signal_connect_optional(&dev, prefix, awregion);
^
../../test-modules/signals-axi.h:108:26: error: no matching function for call to ‘signal_connect_optional(Vace_slv
, const char*&, uint8_t (&)(uint32_t))’
signal_connect_optional(&dev, prefix, awqos);
^
In file included from ../../test-modules/signals-axi.h:28:0,
from ace-slv-test.cc:52:
../../test-modules/signals-common.h:95:20: note: candidate: template void signal_connect_optional(sc_core::sc_object*, const char*, sc_core::sc_signal&)
static inline void signal_connect_optional(sc_object dev,
^
../../test-modules/signals-common.h:95:20: note: template argument deduction/substitution failed:
In file included from ace-slv-test.cc:52:0:
../../test-modules/signals-axi.h:108:26: note: mismatched types ‘sc_core::sc_signal’ and ‘uint8_t(uint32_t) {aka unsigned char(unsigned int)}’
signal_connect_optional(&dev, prefix, awqos);
^
../../test-modules/signals-axi.h:109:17: error: no matching function for call to ‘signal_connect(Vace_slv
, const char*&, uint8_t (&)(uint32_t))’
signal_connect(&dev, prefix, awcache);
^
In file included from ../../test-modules/signals-axi.h:28:0,
from ace-slv-test.cc:52:
../../test-modules/signals-common.h:53:20: note: candidate: template void signal_connect(sc_core::sc_object*, const char*, sc_core::sc_signal&, bool)
static inline void signal_connect(sc_object dev,
^
../../test-modules/signals-common.h:53:20: note: template argument deduction/substitution failed:
In file included from ace-slv-test.cc:52:0:
../../test-modules/signals-axi.h:109:17: note: mismatched types ‘sc_core::sc_signal’ and ‘uint8_t(uint32_t) {aka unsigned char(unsigned int)}’
signal_connect(&dev, prefix, awcache);
^
../../test-modules/signals-axi.h:110:17: error: no matching function for call to ‘signal_connect(Vace_slv
, const char*&, uint8_t (&)(uint32_t))’
signal_connect(&dev, prefix, awburst);
^
In file included from ../../test-modules/signals-axi.h:28:0,
from ace-slv-test.cc:52:
../../test-modules/signals-common.h:53:20: note: candidate: template void signal_connect(sc_core::sc_object*, const char*, sc_core::sc_signal&, bool)
static inline void signal_connect(sc_object dev,
^
../../test-modules/signals-common.h:53:20: note: template argument deduction/substitution failed:
In file included from ace-slv-test.cc:52:0:
../../test-modules/signals-axi.h:110:17: note: mismatched types ‘sc_core::sc_signal’ and ‘uint8_t(uint32_t) {aka unsigned char(unsigned int)}’
signal_connect(&dev, prefix, awburst);
^
In file included from /usr/local/systemc-2.3.0//include/sysc/communication/sc_port.h:35:0,
from /usr/local/systemc-2.3.0//include/sysc/communication/sc_signal.h:32,
from /usr/local/systemc-2.3.0//include/sysc/communication/sc_buffer.h:34,
from /usr/local/systemc-2.3.0//include/systemc:79,
from ace-slv-test.cc:28:
../../../traffic-generators/tg-tlm.h: In instantiation of ‘void std::vector<_Tp, _Alloc>::_M_insert_aux(std::vector<_Tp, _Alloc>::iterator, const _Tp&) [with _Tp = TLMTrafficGenerator::ThreadData; _Alloc = std::allocatorTLMTrafficGenerator::ThreadData; std::vector<_Tp, _Alloc>::iterator = __gnu_cxx::__normal_iterator<TLMTrafficGenerator::ThreadData
, std::vectorTLMTrafficGenerator::ThreadData >; typename std::Vector_base<Tp, Alloc>::pointer = TLMTrafficGenerator::ThreadData*]’:
/usr/include/c++/5/bits/stl_vector.h:925:17: required from ‘void std::vector<Tp, Alloc>::push_back(const value_type&) [with Tp = TLMTrafficGenerator::ThreadData; Alloc = std::allocatorTLMTrafficGenerator::ThreadData; std::vector<Tp, Alloc>::value_type = TLMTrafficGenerator::ThreadData]’
../../../traffic-generators/tg-tlm.h:56:41: required from here
/usr/local/systemc-2.3.0//include/sysc/kernel/sc_event.h:332:5: error: ‘sc_core::sc_event::sc_event(const sc_core::sc_event&)’ is private
sc_event( const sc_event& );
^
In file included from ace-slv-test.cc:41:0:
../../../traffic-generators/tg-tlm.h:91:8: error: within this context
class ThreadData {
^
In file included from /usr/include/c++/5/vector:69:0,
from /usr/local/systemc-2.3.0//include/sysc/kernel/sc_attribute.h:34,
from /usr/local/systemc-2.3.0//include/sysc/kernel/sc_object.h:35,
from /usr/local/systemc-2.3.0//include/sysc/kernel/sc_process.h:37,
from /usr/local/systemc-2.3.0//include/sysc/kernel/sc_module.h:35,
from /usr/local/systemc-2.3.0//include/systemc:74,
from ace-slv-test.cc:28:
/usr/include/c++/5/bits/vector.tcc:337:19: note: synthesized method ‘TLMTrafficGenerator::ThreadData::ThreadData(const TLMTrafficGenerator::ThreadData&)’ first required here
Tp x_copy = x;
^
In file included from /usr/local/systemc-2.3.0//include/sysc/communication/sc_port.h:35:0,
from /usr/local/systemc-2.3.0//include/sysc/communication/sc_signal.h:32,
from /usr/local/systemc-2.3.0//include/sysc/communication/sc_buffer.h:34,
from /usr/local/systemc-2.3.0//include/systemc:79,
from ace-slv-test.cc:28:
/usr/local/systemc-2.3.0//include/sysc/kernel/sc_event.h:333:15: error: ‘sc_core::sc_event& sc_core::sc_event::operator=(const sc_core::sc_event&)’ is private
sc_event& operator = ( const sc_event& );
^
In file included from ace-slv-test.cc:41:0:
../../../traffic-generators/tg-tlm.h:91:8: error: within this context
class ThreadData {
^
In file included from /usr/include/c++/5/vector:69:0,
from /usr/local/systemc-2.3.0//include/sysc/kernel/sc_attribute.h:34,
from /usr/local/systemc-2.3.0//include/sysc/kernel/sc_object.h:35,
from /usr/local/systemc-2.3.0//include/sysc/kernel/sc_process.h:37,
from /usr/local/systemc-2.3.0//include/sysc/kernel/sc_module.h:35,
from /usr/local/systemc-2.3.0//include/systemc:74,
from ace-slv-test.cc:28:
/usr/include/c++/5/bits/vector.tcc:343:16: note: synthesized method ‘TLMTrafficGenerator::ThreadData& TLMTrafficGenerator::ThreadData::operator=(const TLMTrafficGenerator::ThreadData&)’ first required here
__position = __x_copy;
^
In file included from ace-slv-test.cc:53:0:
../../test-modules/signals-ace.h: In instantiation of ‘void ACESignals<ADDR_WIDTH, DATA_WIDTH, ID_WIDTH, AxLEN_WIDTH, AxLOCK_WIDTH, AWUSER_WIDTH, ARUSER_WIDTH, WUSER_WIDTH, RUSER_WIDTH, BUSER_WIDTH, CD_DATA_WIDTH>::connect(T
) [with T = ace2tlm_bridge<64, 128, 16, 8, 1, 32, 32, 32, 32, 32, 128>; int ADDR_WIDTH = 64; int DATA_WIDTH = 128; int ID_WIDTH = 16; int AxLEN_WIDTH = 8; int AxLOCK_WIDTH = 1; int AWUSER_WIDTH = 32; int ARUSER_WIDTH = 32; int WUSER_WIDTH = 32; int RUSER_WIDTH = 32; int BUSER_WIDTH = 32; int CD_DATA_WIDTH = 128]’:
ace-slv-test.cc:458:3: required from ‘void Top::connect(T1&, T2&, T3&, T4&, T5&, T6&, T7&) [with T1 = sc_core::sc_clock; T2 = sc_core::sc_signal<bool, (sc_core::sc_writer_policy)0u>; T3 = ACEMaster<256, 64>; T4 = tlm2ace_bridge<64, 128, 16, 8, 1, 32, 32, 32, 32, 32, 64, 128>; T5 = ACESignals<64, 128, 16, 8, 1, 32, 32, 32, 32, 32, 128>; T6 = ace2tlm_bridge<64, 128, 16, 8, 1, 32, 32, 32, 32, 32, 128>; T7 = iconnect_ace<2, 0, 64>::ACEPort_S]’
ace-slv-test.cc:391:40: required from here
../../test-modules/signals-ace.h:134:3: error: no match for call to ‘(sc_core::sc_in<sc_dt::sc_bv<3> >) (uint8_t (&)(uint32_t))’
dev->awprot(awprot);
^
In file included from /usr/local/systemc-2.3.0//include/sysc/communication/sc_clock_ports.h:33:0,
from /usr/local/systemc-2.3.0//include/systemc:81,
from ace-slv-test.cc:28:
/usr/local/systemc-2.3.0//include/sysc/communication/sc_signal_ports.h:168:10: note: candidate: void sc_core::sc_in::operator()(const in_if_type&) [with T = sc_dt::sc_bv<3>; sc_core::sc_in::in_if_type = sc_core::sc_signal_in_if<sc_dt::sc_bv<3> >]
void operator () ( const in_if_type& interface
)
^
/usr/local/systemc-2.3.0//include/sysc/communication/sc_signal_ports.h:168:10: note: no known conversion for argument 1 from ‘uint8_t(uint32_t) {aka unsigned char(unsigned int)}’ to ‘const in_if_type& {aka const sc_core::sc_signal_in_if<sc_dt::sc_bv<3> >&}’
/usr/local/systemc-2.3.0//include/sysc/communication/sc_signal_ports.h:177:10: note: candidate: void sc_core::sc_in::operator()(sc_core::sc_in::in_port_type&) [with T = sc_dt::sc_bv<3>; sc_core::sc_in::in_port_type = sc_core::sc_port<sc_core::sc_signal_in_if<sc_dt::sc_bv<3> >, 1, (sc_core::sc_port_policy)0u>]
void operator () ( in_port_type& parent
)
^
/usr/local/systemc-2.3.0//include/sysc/communication/sc_signal_ports.h:177:10: note: no known conversion for argument 1 from ‘uint8_t(uint32_t) {aka unsigned char(unsigned int)}’ to ‘sc_core::sc_in<sc_dt::sc_bv<3> >::in_port_type& {aka sc_core::sc_port<sc_core::sc_signal_in_if<sc_dt::sc_bv<3> >, 1, (sc_core::sc_port_policy)0u>&}’
/usr/local/systemc-2.3.0//include/sysc/communication/sc_signal_ports.h:186:10: note: candidate: void sc_core::sc_in::operator()(sc_core::sc_in::inout_port_type&) [with T = sc_dt::sc_bv<3>; sc_core::sc_in::inout_port_type = sc_core::sc_port<sc_core::sc_signal_inout_if<sc_dt::sc_bv<3> >, 1, (sc_core::sc_port_policy)0u>]
void operator () ( inout_port_type& parent )
^
/usr/local/systemc-2.3.0//include/sysc/communication/sc_signal_ports.h:186:10: note: no known conversion for argument 1 from ‘uint8_t(uint32_t) {aka unsigned char(unsigned int)}’ to ‘sc_core::sc_in<sc_dt::sc_bv<3> >::inout_port_type& {aka sc_core::sc_port<sc_core::sc_signal_inout_if<sc_dt::sc_bv<3> >, 1, (sc_core::sc_port_policy)0u>&}’
In file included from ace-slv-test.cc:53:0:
../../test-modules/signals-ace.h:138:3: error: no match for call to ‘(sc_core::sc_in<sc_dt::sc_bv<4> >) (uint8_t (&)(uint32_t))’
dev->awregion(awregion);
^
In file included from /usr/local/systemc-2.3.0//include/sysc/communication/sc_clock_ports.h:33:0,
from /usr/local/systemc-2.3.0//include/systemc:81,
from ace-slv-test.cc:28:
/usr/local/systemc-2.3.0//include/sysc/communication/sc_signal_ports.h:168:10: note: candidate: void sc_core::sc_in::operator()(const in_if_type&) [with T = sc_dt::sc_bv<4>; sc_core::sc_in::in_if_type = sc_core::sc_signal_in_if<sc_dt::sc_bv<4> >]
void operator () ( const in_if_type& interface )
^
/usr/local/systemc-2.3.0//include/sysc/communication/sc_signal_ports.h:168:10: note: no known conversion for argument 1 from ‘uint8_t(uint32_t) {aka unsigned char(unsigned int)}’ to ‘const in_if_type& {aka const sc_core::sc_signal_in_if<sc_dt::sc_bv<4> >&}’
/usr/local/systemc-2.3.0//include/sysc/communication/sc_signal_ports.h:177:10: note: candidate: void sc_core::sc_in::operator()(sc_core::sc_in::in_port_type&) [with T = sc_dt::sc_bv<4>; sc_core::sc_in::in_port_type = sc_core::sc_port<sc_core::sc_signal_in_if<sc_dt::sc_bv<4> >, 1, (sc_core::sc_port_policy)0u>]
void operator () ( in_port_type& parent )
^
/usr/local/systemc-2.3.0//include/sysc/communication/sc_signal_ports.h:177:10: note: no known conversion for argument 1 from ‘uint8_t(uint32_t) {aka unsigned char(unsigned int)}’ to ‘sc_core::sc_in<sc_dt::sc_bv<4> >::in_port_type& {aka sc_core::sc_port<sc_core::sc_signal_in_if<sc_dt::sc_bv<4> >, 1, (sc_core::sc_port_policy)0u>&}’
/usr/local/systemc-2.3.0//include/sysc/communication/sc_signal_ports.h:186:10: note: candidate: void sc_core::sc_in::operator()(sc_core::sc_in::inout_port_type&) [with T = sc_dt::sc_bv<4>; sc_core::sc_in::inout_port_type = sc_core::sc_port<sc_core::sc_signal_inout_if<sc_dt::sc_bv<4> >, 1, (sc_core::sc_port_policy)0u>]
void operator () ( inout_port_type& parent )
^
/usr/local/systemc-2.3.0//include/sysc/communication/sc_signal_ports.h:186:10: note: no known conversion for argument 1 from ‘uint8_t(uint32_t) {aka unsigned char(unsigned int)}’ to ‘sc_core::sc_in<sc_dt::sc_bv<4> >::inout_port_type& {aka sc_core::sc_port<sc_core::sc_signal_inout_if<sc_dt::sc_bv<4> >, 1, (sc_core::sc_port_policy)0u>&}’
In file included from ace-slv-test.cc:53:0:
../../test-modules/signals-ace.h:139:3: error: no match for call to ‘(sc_core::sc_in<sc_dt::sc_bv<4> >) (uint8_t (&)(uint32_t))’
dev->awqos(awqos);
^
In file included from /usr/local/systemc-2.3.0//include/sysc/communication/sc_clock_ports.h:33:0,
from /usr/local/systemc-2.3.0//include/systemc:81,
from ace-slv-test.cc:28:
/usr/local/systemc-2.3.0//include/sysc/communication/sc_signal_ports.h:168:10: note: candidate: void sc_core::sc_in::operator()(const in_if_type&) [with T = sc_dt::sc_bv<4>; sc_core::sc_in::in_if_type = sc_core::sc_signal_in_if<sc_dt::sc_bv<4> >]
void operator () ( const in_if_type& interface )
^
/usr/local/systemc-2.3.0//include/sysc/communication/sc_signal_ports.h:168:10: note: no known conversion for argument 1 from ‘uint8_t(uint32_t) {aka unsigned char(unsigned int)}’ to ‘const in_if_type& {aka const sc_core::sc_signal_in_if<sc_dt::sc_bv<4> >&}’
/usr/local/systemc-2.3.0//include/sysc/communication/sc_signal_ports.h:177:10: note: candidate: void sc_core::sc_in::operator()(sc_core::sc_in::in_port_type&) [with T = sc_dt::sc_bv<4>; sc_core::sc_in::in_port_type = sc_core::sc_port<sc_core::sc_signal_in_if<sc_dt::sc_bv<4> >, 1, (sc_core::sc_port_policy)0u>]
void operator () ( in_port_type& parent )
^
/usr/local/systemc-2.3.0//include/sysc/communication/sc_signal_ports.h:177:10: note: no known conversion for argument 1 from ‘uint8_t(uint32_t) {aka unsigned char(unsigned int)}’ to ‘sc_core::sc_in<sc_dt::sc_bv<4> >::in_port_type& {aka sc_core::sc_port<sc_core::sc_signal_in_if<sc_dt::sc_bv<4> >, 1, (sc_core::sc_port_policy)0u>&}’
/usr/local/systemc-2.3.0//include/sysc/communication/sc_signal_ports.h:186:10: note: candidate: void sc_core::sc_in::operator()(sc_core::sc_in::inout_port_type&) [with T = sc_dt::sc_bv<4>; sc_core::sc_in::inout_port_type = sc_core::sc_port<sc_core::sc_signal_inout_if<sc_dt::sc_bv<4> >, 1, (sc_core::sc_port_policy)0u>]
void operator () ( inout_port_type& parent )
^
/usr/local/systemc-2.3.0//include/sysc/communication/sc_signal_ports.h:186:10: note: no known conversion for argument 1 from ‘uint8_t(uint32_t) {aka unsigned char(unsigned int)}’ to ‘sc_core::sc_in<sc_dt::sc_bv<4> >::inout_port_type& {aka sc_core::sc_port<sc_core::sc_signal_inout_if<sc_dt::sc_bv<4> >, 1, (sc_core::sc_port_policy)0u>&}’
In file included from ace-slv-test.cc:53:0:
../../test-modules/signals-ace.h:140:3: error: no match for call to ‘(sc_core::sc_in<sc_dt::sc_bv<4> >) (uint8_t (&)(uint32_t))’
dev->awcache(awcache);
^
In file included from /usr/local/systemc-2.3.0//include/sysc/communication/sc_clock_ports.h:33:0,
from /usr/local/systemc-2.3.0//include/systemc:81,
from ace-slv-test.cc:28:
/usr/local/systemc-2.3.0//include/sysc/communication/sc_signal_ports.h:168:10: note: candidate: void sc_core::sc_in::operator()(const in_if_type&) [with T = sc_dt::sc_bv<4>; sc_core::sc_in::in_if_type = sc_core::sc_signal_in_if<sc_dt::sc_bv<4> >]
void operator () ( const in_if_type& interface )
^
/usr/local/systemc-2.3.0//include/sysc/communication/sc_signal_ports.h:168:10: note: no known conversion for argument 1 from ‘uint8_t(uint32_t) {aka unsigned char(unsigned int)}’ to ‘const in_if_type& {aka const sc_core::sc_signal_in_if<sc_dt::sc_bv<4> >&}’
/usr/local/systemc-2.3.0//include/sysc/communication/sc_signal_ports.h:177:10: note: candidate: void sc_core::sc_in::operator()(sc_core::sc_in::in_port_type&) [with T = sc_dt::sc_bv<4>; sc_core::sc_in::in_port_type = sc_core::sc_port<sc_core::sc_signal_in_if<sc_dt::sc_bv<4> >, 1, (sc_core::sc_port_policy)0u>]
void operator () ( in_port_type& parent )
^
/usr/local/systemc-2.3.0//include/sysc/communication/sc_signal_ports.h:177:10: note: no known conversion for argument 1 from ‘uint8_t(uint32_t) {aka unsigned char(unsigned int)}’ to ‘sc_core::sc_in<sc_dt::sc_bv<4> >::in_port_type& {aka sc_core::sc_port<sc_core::sc_signal_in_if<sc_dt::sc_bv<4> >, 1, (sc_core::sc_port_policy)0u>&}’
/usr/local/systemc-2.3.0//include/sysc/communication/sc_signal_ports.h:186:10: note: candidate: void sc_core::sc_in::operator()(sc_core::sc_in::inout_port_type&) [with T = sc_dt::sc_bv<4>; sc_core::sc_in::inout_port_type = sc_core::sc_port<sc_core::sc_signal_inout_if<sc_dt::sc_bv<4> >, 1, (sc_core::sc_port_policy)0u>]
void operator () ( inout_port_type& parent )
^
/usr/local/systemc-2.3.0//include/sysc/communication/sc_signal_ports.h:186:10: note: no known conversion for argument 1 from ‘uint8_t(uint32_t) {aka unsigned char(unsigned int)}’ to ‘sc_core::sc_in<sc_dt::sc_bv<4> >::inout_port_type& {aka sc_core::sc_port<sc_core::sc_signal_inout_if<sc_dt::sc_bv<4> >, 1, (sc_core::sc_port_policy)0u>&}’
In file included from ace-slv-test.cc:53:0:
../../test-modules/signals-ace.h:141:3: error: no match for call to ‘(sc_core::sc_in<sc_dt::sc_bv<2> >) (uint8_t (&)(uint32_t))’
dev->awburst(awburst);
^
In file included from /usr/local/systemc-2.3.0//include/sysc/communication/sc_clock_ports.h:33:0,
from /usr/local/systemc-2.3.0//include/systemc:81,
from ace-slv-test.cc:28:
/usr/local/systemc-2.3.0//include/sysc/communication/sc_signal_ports.h:168:10: note: candidate: void sc_core::sc_in::operator()(const in_if_type&) [with T = sc_dt::sc_bv<2>; sc_core::sc_in::in_if_type = sc_core::sc_signal_in_if<sc_dt::sc_bv<2> >]
void operator () ( const in_if_type& interface )
^
/usr/local/systemc-2.3.0//include/sysc/communication/sc_signal_ports.h:168:10: note: no known conversion for argument 1 from ‘uint8_t(uint32_t) {aka unsigned char(unsigned int)}’ to ‘const in_if_type& {aka const sc_core::sc_signal_in_if<sc_dt::sc_bv<2> >&}’
/usr/local/systemc-2.3.0//include/sysc/communication/sc_signal_ports.h:177:10: note: candidate: void sc_core::sc_in::operator()(sc_core::sc_in::in_port_type&) [with T = sc_dt::sc_bv<2>; sc_core::sc_in::in_port_type = sc_core::sc_port<sc_core::sc_signal_in_if<sc_dt::sc_bv<2> >, 1, (sc_core::sc_port_policy)0u>]
void operator () ( in_port_type& parent )
^
/usr/local/systemc-2.3.0//include/sysc/communication/sc_signal_ports.h:177:10: note: no known conversion for argument 1 from ‘uint8_t(uint32_t) {aka unsigned char(unsigned int)}’ to ‘sc_core::sc_in<sc_dt::sc_bv<2> >::in_port_type& {aka sc_core::sc_port<sc_core::sc_signal_in_if<sc_dt::sc_bv<2> >, 1, (sc_core::sc_port_policy)0u>&}’
/usr/local/systemc-2.3.0//include/sysc/communication/sc_signal_ports.h:186:10: note: candidate: void sc_core::sc_in::operator()(sc_core::sc_in::inout_port_type&) [with T = sc_dt::sc_bv<2>; sc_core::sc_in::inout_port_type = sc_core::sc_port<sc_core::sc_signal_inout_if<sc_dt::sc_bv<2> >, 1, (sc_core::sc_port_policy)0u>]
void operator () ( inout_port_type& parent )
^
/usr/local/systemc-2.3.0//include/sysc/communication/sc_signal_ports.h:186:10: note: no known conversion for argument 1 from ‘uint8_t(uint32_t) {aka unsigned char(unsigned int)}’ to ‘sc_core::sc_in<sc_dt::sc_bv<2> >::inout_port_type& {aka sc_core::sc_port<sc_core::sc_signal_inout_if<sc_dt::sc_bv<2> >, 1, (sc_core::sc_port_policy)0u>&}’
In file included from ace-slv-test.cc:60:0:
../../test-modules/signals-axilite.h: In instantiation of ‘void AXILiteSignals<ADDR_WIDTH, DATA_WIDTH>::connect(T*) [with T = tlm2axilite_bridge<64, 32>; int ADDR_WIDTH = 64; int DATA_WIDTH = 32]’:
../../test-modules/signals-axilite.h:159:10: required from ‘void AXILiteSignals<ADDR_WIDTH, DATA_WIDTH>::connect(T&) [with T = tlm2axilite_bridge<64, 32>; int ADDR_WIDTH = 64; int DATA_WIDTH = 32]’
ace-slv-test.cc:400:42: required from here
../../test-modules/signals-axilite.h:99:3: error: no match for call to ‘(sc_core::sc_out<sc_dt::sc_bv<3> >) (uint8_t (&)(uint32_t))’
dev->awprot(awprot);
^
In file included from /usr/local/systemc-2.3.0//include/sysc/communication/sc_signal.h:32:0,
from /usr/local/systemc-2.3.0//include/sysc/communication/sc_buffer.h:34,
from /usr/local/systemc-2.3.0//include/systemc:79,
from ace-slv-test.cc:28:
/usr/local/systemc-2.3.0//include/sysc/communication/sc_port.h:272:10: note: candidate: void sc_core::sc_port_b::operator()(IF&) [with IF = sc_core::sc_signal_inout_if<sc_dt::sc_bv<3> >]
void operator () ( IF& interface )
^
/usr/local/systemc-2.3.0//include/sysc/communication/sc_port.h:272:10: note: no known conversion for argument 1 from ‘uint8_t(uint32_t) {aka unsigned char(unsigned int)}’ to ‘sc_core::sc_signal_inout_if<sc_dt::sc_bv<3> >&’
/usr/local/systemc-2.3.0//include/sysc/communication/sc_port.h:281:10: note: candidate: void sc_core::sc_port_b::operator()(sc_core::sc_port_b::port_type&) [with IF = sc_core::sc_signal_inout_if<sc_dt::sc_bv<3> >; sc_core::sc_port_b::port_type = sc_core::sc_port_b<sc_core::sc_signal_inout_if<sc_dt::sc_bv<3> > >]
void operator () ( port_type& parent )
^
/usr/local/systemc-2.3.0//include/sysc/communication/sc_port.h:281:10: note: no known conversion for argument 1 from ‘uint8_t(uint32_t) {aka unsigned char(unsigned int)}’ to ‘sc_core::sc_port_b<sc_core::sc_signal_inout_if<sc_dt::sc_bv<3> > >::port_type& {aka sc_core::sc_port_b<sc_core::sc_signal_inout_if<sc_dt::sc_bv<3> > >&}’
In file included from ace-slv-test.cc:60:0:
../../test-modules/signals-axilite.h: In instantiation of ‘void AXILiteSignals<ADDR_WIDTH, DATA_WIDTH>::connect(T*) [with T = AXILiteProtocolChecker<64, 32>; int ADDR_WIDTH = 64; int DATA_WIDTH = 32]’:
../../test-modules/signals-axilite.h:159:10: required from ‘void AXILiteSignals<ADDR_WIDTH, DATA_WIDTH>::connect(T&) [with T = AXILiteProtocolChecker<64, 32>; int ADDR_WIDTH = 64; int DATA_WIDTH = 32]’
ace-slv-test.cc:401:39: required from here
../../test-modules/signals-axilite.h:99:3: error: no match for call to ‘(sc_core::sc_in<sc_dt::sc_bv<3> >) (uint8_t (&)(uint32_t))’
dev->awprot(awprot);
^
In file included from /usr/local/systemc-2.3.0//include/sysc/communication/sc_clock_ports.h:33:0,
from /usr/local/systemc-2.3.0//include/systemc:81,
from ace-slv-test.cc:28:
/usr/local/systemc-2.3.0//include/sysc/communication/sc_signal_ports.h:168:10: note: candidate: void sc_core::sc_in::operator()(const in_if_type&) [with T = sc_dt::sc_bv<3>; sc_core::sc_in::in_if_type = sc_core::sc_signal_in_if<sc_dt::sc_bv<3> >]
void operator () ( const in_if_type& interface )
^
/usr/local/systemc-2.3.0//include/sysc/communication/sc_signal_ports.h:168:10: note: no known conversion for argument 1 from ‘uint8_t(uint32_t) {aka unsigned char(unsigned int)}’ to ‘const in_if_type& {aka const sc_core::sc_signal_in_if<sc_dt::sc_bv<3> >&}’
/usr/local/systemc-2.3.0//include/sysc/communication/sc_signal_ports.h:177:10: note: candidate: void sc_core::sc_in::operator()(sc_core::sc_in::in_port_type&) [with T = sc_dt::sc_bv<3>; sc_core::sc_in::in_port_type = sc_core::sc_port<sc_core::sc_signal_in_if<sc_dt::sc_bv<3> >, 1, (sc_core::sc_port_policy)0u>]
void operator () ( in_port_type& parent )
^
/usr/local/systemc-2.3.0//include/sysc/communication/sc_signal_ports.h:177:10: note: no known conversion for argument 1 from ‘uint8_t(uint32_t) {aka unsigned char(unsigned int)}’ to ‘sc_core::sc_in<sc_dt::sc_bv<3> >::in_port_type& {aka sc_core::sc_port<sc_core::sc_signal_in_if<sc_dt::sc_bv<3> >, 1, (sc_core::sc_port_policy)0u>&}’
/usr/local/systemc-2.3.0//include/sysc/communication/sc_signal_ports.h:186:10: note: candidate: void sc_core::sc_in::operator()(sc_core::sc_in::inout_port_type&) [with T = sc_dt::sc_bv<3>; sc_core::sc_in::inout_port_type = sc_core::sc_port<sc_core::sc_signal_inout_if<sc_dt::sc_bv<3> >, 1, (sc_core::sc_port_policy)0u>]
void operator () ( inout_port_type& parent )
^
/usr/local/systemc-2.3.0//include/sysc/communication/sc_signal_ports.h:186:10: note: no known conversion for argument 1 from ‘uint8_t(uint32_t) {aka unsigned char(unsigned int)}’ to ‘sc_core::sc_in<sc_dt::sc_bv<3> >::inout_port_type& {aka sc_core::sc_port<sc_core::sc_signal_inout_if<sc_dt::sc_bv<3> >, 1, (sc_core::sc_port_policy)0u>&}’
In file included from /usr/local/systemc-2.3.0//include/sysc/kernel/sc_boost.h:44:0,
from /usr/local/systemc-2.3.0//include/sysc/kernel/sc_dynamic_processes.h:36,
from /usr/local/systemc-2.3.0//include/systemc:124,
from ace-slv-test.cc:28:
/usr/local/systemc-2.3.0//include/sysc/packages/boost/bind.hpp: In instantiation of ‘void sc_boost::sc_bi::list2<A1, A2>::operator()(sc_boost::sc_bi::type, F&, A&, int) [with F = sc_boost::sc_mfi::mf1<void, TLMTrafficGenerator, TLMTrafficGenerator::ThreadData*>; A = sc_boost::sc_bi::list0; A1 = sc_boost::sc_bi::value<TLMTrafficGenerator*>; A2 = sc_boost::sc_bi::value<int*>]’:
/usr/local/systemc-2.3.0//include/sysc/packages/boost/bind/bind_template.hpp:20:62: required from ‘sc_boost::sc_bi::bind_t<R, F, L>::result_type sc_boost::sc_bi::bind_t<R, F, L>::operator()() [with R = void; F = sc_boost::sc_mfi::mf1<void, TLMTrafficGenerator, TLMTrafficGenerator::ThreadData*>; L = sc_boost::sc_bi::list2<sc_boost::sc_bi::value<TLMTrafficGenerator*>, sc_boost::sc_bi::value<int*> >; sc_boost::sc_bi::bind_t<R, F, L>::result_type = void]’
/usr/local/systemc-2.3.0//include/sysc/kernel/sc_spawn.h:83:9: required from ‘void sc_core::sc_spawn_object::semantics() [with T = sc_boost::sc_bi::bind_t<void, sc_boost::sc_mfi::mf1<void, TLMTrafficGenerator, TLMTrafficGenerator::ThreadData*>, sc_boost::sc_bi::list2<sc_boost::sc_bi::value<TLMTrafficGenerator*>, sc_boost::sc_bi::value<int*> > >]’
/usr/local/systemc-2.3.0//include/sysc/kernel/sc_spawn.h:118:13: required from ‘sc_core::sc_process_handle sc_core::sc_spawn(T, const char*, const sc_core::sc_spawn_options*) [with T = sc_boost::sc_bi::bind_t<void, sc_boost::sc_mfi::mf1<void, TLMTrafficGenerator, TLMTrafficGenerator::ThreadData*>, sc_boost::sc_bi::list2<sc_boost::sc_bi::value<TLMTrafficGenerator*>, sc_boost::sc_bi::value<int*> > >]’
../../../traffic-generators/tg-tlm.h:168:10: required from here
/usr/local/systemc-2.3.0//include/sysc/packages/boost/bind.hpp:286:22: error: no match for call to ‘(sc_boost::sc_mfi::mf1<void, TLMTrafficGenerator, TLMTrafficGenerator::ThreadData*>) (TLMTrafficGenerator*&, int*&)’
unwrap(&f, 0)(a[a1], a[a2]);
^
In file included from /usr/local/systemc-2.3.0//include/sysc/packages/boost/mem_fn.hpp:215:0,
from /usr/local/systemc-2.3.0//include/sysc/packages/boost/bind.hpp:26,
from /usr/local/systemc-2.3.0//include/sysc/kernel/sc_boost.h:44,
from /usr/local/systemc-2.3.0//include/sysc/kernel/sc_dynamic_processes.h:36,
from /usr/local/systemc-2.3.0//include/systemc:124,
from ace-slv-test.cc:28:
/usr/local/systemc-2.3.0//include/sysc/packages/boost/bind/mem_fn_template.hpp:147:7: note: candidate: R sc_boost::sc_mfi::mf1<R, T, A1>::operator()(T*, A1) const [with R = void; T = TLMTrafficGenerator; A1 = TLMTrafficGenerator::ThreadData*]
R operator()(T * p, A1 a1) const
^
/usr/local/systemc-2.3.0//include/sysc/packages/boost/bind/mem_fn_template.hpp:147:7: note: no known conversion for argument 2 from ‘int*’ to ‘TLMTrafficGenerator::ThreadData*’
/usr/local/systemc-2.3.0//include/sysc/packages/boost/bind/mem_fn_template.hpp:152:25: note: candidate: template R sc_boost::sc_mfi::mf1<R, T, A1>::operator()(U&, A1) const [with U = U; R = void; T = TLMTrafficGenerator; A1 = TLMTrafficGenerator::ThreadData*]
template R operator()(U & u, A1 a1) const
^
/usr/local/systemc-2.3.0//include/sysc/packages/boost/bind/mem_fn_template.hpp:152:25: note: template argument deduction/substitution failed:
In file included from /usr/local/systemc-2.3.0//include/sysc/kernel/sc_boost.h:44:0,
from /usr/local/systemc-2.3.0//include/sysc/kernel/sc_dynamic_processes.h:36,
from /usr/local/systemc-2.3.0//include/systemc:124,
from ace-slv-test.cc:28:
/usr/local/systemc-2.3.0//include/sysc/packages/boost/bind.hpp:286:22: note: cannot convert ‘(& a)->sc_boost::sc_bi::list0::operator[]<int*>(((sc_boost::sc_bi::list2<sc_boost::sc_bi::value<TLMTrafficGenerator*>, sc_boost::sc_bi::value<int*> >)this)->sc_boost::sc_bi::list2<sc_boost::sc_bi::value<TLMTrafficGenerator>, sc_boost::sc_bi::value<int*> >::a2)’ (type ‘int*’) to type ‘TLMTrafficGenerator::ThreadData*’
unwrap(&f, 0)(a[a1], a[a2]);
^
In file included from /usr/local/systemc-2.3.0//include/sysc/packages/boost/mem_fn.hpp:215:0,
from /usr/local/systemc-2.3.0//include/sysc/packages/boost/bind.hpp:26,
from /usr/local/systemc-2.3.0//include/sysc/kernel/sc_boost.h:44,
from /usr/local/systemc-2.3.0//include/sysc/kernel/sc_dynamic_processes.h:36,
from /usr/local/systemc-2.3.0//include/systemc:124,
from ace-slv-test.cc:28:
/usr/local/systemc-2.3.0//include/sysc/packages/boost/bind/mem_fn_template.hpp:157:7: note: candidate: R sc_boost::sc_mfi::mf1<R, T, A1>::operator()(T&, A1) const [with R = void; T = TLMTrafficGenerator; A1 = TLMTrafficGenerator::ThreadData*]
R operator()(T & t, A1 a1) const
^
/usr/local/systemc-2.3.0//include/sysc/packages/boost/bind/mem_fn_template.hpp:157:7: note: no known conversion for argument 1 from ‘TLMTrafficGenerator*’ to ‘TLMTrafficGenerator&’
In file included from ../../../tlm-bridges/ace2tlm-bridge.h:29:0,
from ace-slv-test.cc:47:
../../../tlm-bridges/axi2tlm-bridge.h: In instantiation of ‘void axi2tlm_bridge<ADDR_WIDTH, DATA_WIDTH, ID_WIDTH, AxLEN_WIDTH, AxLOCK_WIDTH, AWUSER_WIDTH, ARUSER_WIDTH, WUSER_WIDTH, RUSER_WIDTH, BUSER_WIDTH, ACE_MODE, CD_DATA_WIDTH>::Transaction::FillData(T1&, T2&) [with T1 = sc_core::sc_in<sc_dt::sc_bv<128> >; T2 = sc_core::sc_in<sc_dt::sc_bv<16> >; int ADDR_WIDTH = 64; int DATA_WIDTH = 128; int ID_WIDTH = 16; int AxLEN_WIDTH = 8; int AxLOCK_WIDTH = 1; int AWUSER_WIDTH = 32; int ARUSER_WIDTH = 32; int WUSER_WIDTH = 32; int RUSER_WIDTH = 32; int BUSER_WIDTH = 32; int ACE_MODE = 1; int CD_DATA_WIDTH = 128]’:
../../../tlm-bridges/axi2tlm-bridge.h:1222:4: required from ‘void axi2tlm_bridge<ADDR_WIDTH, DATA_WIDTH, ID_WIDTH, AxLEN_WIDTH, AxLOCK_WIDTH, AWUSER_WIDTH, ARUSER_WIDTH, WUSER_WIDTH, RUSER_WIDTH, BUSER_WIDTH, ACE_MODE, CD_DATA_WIDTH>::write_data_phase() [with int ADDR_WIDTH = 64; int DATA_WIDTH = 128; int ID_WIDTH = 16; int AxLEN_WIDTH = 8; int AxLOCK_WIDTH = 1; int AWUSER_WIDTH = 32; int ARUSER_WIDTH = 32; int WUSER_WIDTH = 32; int RUSER_WIDTH = 32; int BUSER_WIDTH = 32; int ACE_MODE = 1; int CD_DATA_WIDTH = 128]’
../../../tlm-bridges/axi2tlm-bridge.h:243:3: required from ‘axi2tlm_bridge<ADDR_WIDTH, DATA_WIDTH, ID_WIDTH, AxLEN_WIDTH, AxLOCK_WIDTH, AWUSER_WIDTH, ARUSER_WIDTH, WUSER_WIDTH, RUSER_WIDTH, BUSER_WIDTH, ACE_MODE, CD_DATA_WIDTH>::axi2tlm_bridge(sc_core::sc_module_name, AXIVersion) [with int ADDR_WIDTH = 64; int DATA_WIDTH = 128; int ID_WIDTH = 16; int AxLEN_WIDTH = 8; int AxLOCK_WIDTH = 1; int AWUSER_WIDTH = 32; int ARUSER_WIDTH = 32; int WUSER_WIDTH = 32; int RUSER_WIDTH = 32; int BUSER_WIDTH = 32; int ACE_MODE = 1; int CD_DATA_WIDTH = 128]’
../../../tlm-bridges/ace2tlm-bridge.h:257:28: required from ‘ace2tlm_bridge<ADDR_WIDTH, DATA_WIDTH, ID_WIDTH, AxLEN_WIDTH, AxLOCK_WIDTH, AWUSER_WIDTH, ARUSER_WIDTH, WUSER_WIDTH, RUSER_WIDTH, BUSER_WIDTH, CD_DATA_WIDTH>::ace2tlm_bridge(sc_core::sc_module_name) [with int ADDR_WIDTH = 64; int DATA_WIDTH = 128; int ID_WIDTH = 16; int AxLEN_WIDTH = 8; int AxLOCK_WIDTH = 1; int AWUSER_WIDTH = 32; int ARUSER_WIDTH = 32; int WUSER_WIDTH = 32; int RUSER_WIDTH = 32; int BUSER_WIDTH = 32; int CD_DATA_WIDTH = 128]’
ace-slv-test.cc:343:22: required from here
../../../tlm-bridges/axi2tlm-bridge.h:414:5: error: could not convert ‘sc_dt::sc_proxy::bit(int) const with X = sc_dt::sc_bv_base’ from ‘sc_dt::sc_bitref_r<sc_dt::sc_bv_base>’ to ‘bool’
if (wstrb.read().bit(i)) {
^
make: *** [ace-slv-test.o] 오류 1
soc@ace$

Additionally, i try to add compile option only test-example, not systemc
there are what i try below.

-std=c++11

soc@ace$ make
g++ -MMD -std=c++11 -Wall -Wno-strict-overflow -Wall -O3 -g -I /usr/local/systemc-2.3.0//include/ -DVM_TRACE=1 -I obj_dir -I /usr/share/verilator/include -I ../../../ -I ../../ -I . -I. -MMD -I/usr/share/verilator/include -I/usr/share/verilator/include/vltstd -DVL_PRINTF=printf -DVM_TRACE=1 -DVM_COVERAGE=0 -c -o ace-slv-test.o ace-slv-test.cc
In file included from /usr/local/systemc-2.3.0//include/sysc/packages/boost/mem_fn.hpp:25:0,
from /usr/local/systemc-2.3.0//include/sysc/packages/boost/bind.hpp:26,
from /usr/local/systemc-2.3.0//include/sysc/kernel/sc_boost.h:44,
from /usr/local/systemc-2.3.0//include/sysc/kernel/sc_dynamic_processes.h:36,
from /usr/local/systemc-2.3.0//include/systemc:124,
from ace-slv-test.cc:28:
/usr/local/systemc-2.3.0//include/sysc/packages/boost/get_pointer.hpp:21:40: warning: ‘template class std::auto_ptr’ is deprecated [-Wdeprecated-declarations]
template T * get_pointer(std::auto_ptr const& p)
^
In file included from /usr/include/c++/5/memory:81:0,
from /usr/local/systemc-2.3.0//include/sysc/packages/boost/get_pointer.hpp:8,
from /usr/local/systemc-2.3.0//include/sysc/packages/boost/mem_fn.hpp:25,
from /usr/local/systemc-2.3.0//include/sysc/packages/boost/bind.hpp:26,
from /usr/local/systemc-2.3.0//include/sysc/kernel/sc_boost.h:44,
from /usr/local/systemc-2.3.0//include/sysc/kernel/sc_dynamic_processes.h:36,
from /usr/local/systemc-2.3.0//include/systemc:124,
from ace-slv-test.cc:28:
/usr/include/c++/5/bits/unique_ptr.h:49:28: note: declared here
template class auto_ptr;
^
In file included from ../../../tlm-bridges/ace2tlm-bridge.h:29:0,
from ace-slv-test.cc:47:
../../../tlm-bridges/axi2tlm-bridge.h: In instantiation of ‘void axi2tlm_bridge<ADDR_WIDTH, DATA_WIDTH, ID_WIDTH, AxLEN_WIDTH, AxLOCK_WIDTH, AWUSER_WIDTH, ARUSER_WIDTH, WUSER_WIDTH, RUSER_WIDTH, BUSER_WIDTH, ACE_MODE, CD_DATA_WIDTH>::Transaction::FillData(T1&, T2&) [with T1 = sc_core::sc_in<sc_dt::sc_bv<128> >; T2 = sc_core::sc_in<sc_dt::sc_bv<16> >; int ADDR_WIDTH = 64; int DATA_WIDTH = 128; int ID_WIDTH = 16; int AxLEN_WIDTH = 8; int AxLOCK_WIDTH = 1; int AWUSER_WIDTH = 32; int ARUSER_WIDTH = 32; int WUSER_WIDTH = 32; int RUSER_WIDTH = 32; int BUSER_WIDTH = 32; int ACE_MODE = 1; int CD_DATA_WIDTH = 128]’:
../../../tlm-bridges/axi2tlm-bridge.h:1222:4: required from ‘void axi2tlm_bridge<ADDR_WIDTH, DATA_WIDTH, ID_WIDTH, AxLEN_WIDTH, AxLOCK_WIDTH, AWUSER_WIDTH, ARUSER_WIDTH, WUSER_WIDTH, RUSER_WIDTH, BUSER_WIDTH, ACE_MODE, CD_DATA_WIDTH>::write_data_phase() [with int ADDR_WIDTH = 64; int DATA_WIDTH = 128; int ID_WIDTH = 16; int AxLEN_WIDTH = 8; int AxLOCK_WIDTH = 1; int AWUSER_WIDTH = 32; int ARUSER_WIDTH = 32; int WUSER_WIDTH = 32; int RUSER_WIDTH = 32; int BUSER_WIDTH = 32; int ACE_MODE = 1; int CD_DATA_WIDTH = 128]’
../../../tlm-bridges/axi2tlm-bridge.h:243:3: required from ‘axi2tlm_bridge<ADDR_WIDTH, DATA_WIDTH, ID_WIDTH, AxLEN_WIDTH, AxLOCK_WIDTH, AWUSER_WIDTH, ARUSER_WIDTH, WUSER_WIDTH, RUSER_WIDTH, BUSER_WIDTH, ACE_MODE, CD_DATA_WIDTH>::axi2tlm_bridge(sc_core::sc_module_name, AXIVersion) [with int ADDR_WIDTH = 64; int DATA_WIDTH = 128; int ID_WIDTH = 16; int AxLEN_WIDTH = 8; int AxLOCK_WIDTH = 1; int AWUSER_WIDTH = 32; int ARUSER_WIDTH = 32; int WUSER_WIDTH = 32; int RUSER_WIDTH = 32; int BUSER_WIDTH = 32; int ACE_MODE = 1; int CD_DATA_WIDTH = 128]’
../../../tlm-bridges/ace2tlm-bridge.h:257:28: required from ‘ace2tlm_bridge<ADDR_WIDTH, DATA_WIDTH, ID_WIDTH, AxLEN_WIDTH, AxLOCK_WIDTH, AWUSER_WIDTH, ARUSER_WIDTH, WUSER_WIDTH, RUSER_WIDTH, BUSER_WIDTH, CD_DATA_WIDTH>::ace2tlm_bridge(sc_core::sc_module_name) [with int ADDR_WIDTH = 64; int DATA_WIDTH = 128; int ID_WIDTH = 16; int AxLEN_WIDTH = 8; int AxLOCK_WIDTH = 1; int AWUSER_WIDTH = 32; int ARUSER_WIDTH = 32; int WUSER_WIDTH = 32; int RUSER_WIDTH = 32; int BUSER_WIDTH = 32; int CD_DATA_WIDTH = 128]’
ace-slv-test.cc:343:22: required from here
../../../tlm-bridges/axi2tlm-bridge.h:414:5: error: could not convert ‘sc_dt::sc_proxy::bit(int) const with X = sc_dt::sc_bv_base’ from ‘sc_dt::sc_bitref_r<sc_dt::sc_bv_base>’ to ‘bool’
if (wstrb.read().bit(i)) {
^
make: *** [ace-slv-test.o] 오류 1
soc@ace$

-std=c++14

soc@ace$ make
SYSTEMC_INCLUDE=/usr/local/systemc-2.3.0//include/ SYSTEMC_LIBDIR=/usr/local/systemc-2.3.0//lib-linux64 verilator -Wno-fatal --pins-bv 2 --sc --unroll-count 100000 --unroll-stmts 100000 --output-split-cfuncs 500 -Wno-PINMISSING -Wno-COMBDLY --trace -I../../../rtl-bridges/pcie-host/ace/rtl/common -I../../../rtl-bridges/pcie-host/ace/rtl/slave ../../../rtl-bridges/pcie-host/ace/rtl/slave/ace_slv.v
make -C obj_dir CXXFLAGS="-MMD -std=c++14 -Wall -Wno-strict-overflow -Wall -O3 -g" -f Vace_slv.mk
make[1]: Entering directory /home/soc/libsystemctlm-soc-master/tests/rtl-bridges/ace/obj_dir' g++ -MMD -std=c++14 -Wall -Wno-strict-overflow -Wall -O3 -g -I. -MMD -I/usr/share/verilator/include -I/usr/share/verilator/include/vltstd -DVL_PRINTF=printf -DVM_TRACE=1 -DVM_COVERAGE=0 -I/usr/local/systemc-2.3.0//include/ -c -o Vace_slv__ALLcls.o Vace_slv__ALLcls.cpp In file included from Vace_slv.h:11:0, from Vace_slv.cpp:5, from Vace_slv__ALLcls.cpp:2: /usr/local/systemc-2.3.0//include/systemc.h:136:16: error: ‘std::gets’ has not been declared using std::gets; ^ make[1]: *** [Vace_slv__ALLcls.o] 오류 1 make[1]: Leaving directory /home/soc/libsystemctlm-soc-master/tests/rtl-bridges/ace/obj_dir'
make: *** [obj_dir/Vace_slv__ALL.a] 오류 2
soc@ace$

-std=gnu++17

soc@ace$ make
SYSTEMC_INCLUDE=/usr/local/systemc-2.3.0//include/ SYSTEMC_LIBDIR=/usr/local/systemc-2.3.0//lib-linux64 verilator -Wno-fatal --pins-bv 2 --sc --unroll-count 100000 --unroll-stmts 100000 --output-split-cfuncs 500 -Wno-PINMISSING -Wno-COMBDLY --trace -I../../../rtl-bridges/pcie-host/ace/rtl/common -I../../../rtl-bridges/pcie-host/ace/rtl/slave ../../../rtl-bridges/pcie-host/ace/rtl/slave/ace_slv.v
make -C obj_dir CXXFLAGS="-MMD -std=gnu++17 -Wall -Wno-strict-overflow -Wall -O3 -g" -f Vace_slv.mk
make[1]: Entering directory /home/soc/libsystemctlm-soc-master/tests/rtl-bridges/ace/obj_dir' g++ -MMD -std=gnu++17 -Wall -Wno-strict-overflow -Wall -O3 -g -I. -MMD -I/usr/share/verilator/include -I/usr/share/verilator/include/vltstd -DVL_PRINTF=printf -DVM_TRACE=1 -DVM_COVERAGE=0 -I/usr/local/systemc-2.3.0//include/ -c -o Vace_slv__ALLcls.o Vace_slv__ALLcls.cpp In file included from Vace_slv.h:11:0, from Vace_slv.cpp:5, from Vace_slv__ALLcls.cpp:2: /usr/local/systemc-2.3.0//include/systemc.h:136:16: error: ‘std::gets’ has not been declared using std::gets; ^ make[1]: *** [Vace_slv__ALLcls.o] 오류 1 make[1]: Leaving directory /home/soc/libsystemctlm-soc-master/tests/rtl-bridges/ace/obj_dir'
make: *** [obj_dir/Vace_slv__ALL.a] 오류 2
soc@ace$

So, What should i do for successful run the example?

@franciscoIglesias
Copy link
Contributor

Hi,

Can you try with SystemC version 2.3.2 and verilator version 4.016? I use gcc/g++ version 6.3.0, but 5.5.0 should work.

SystemC 2.3.2

$ tar -xzf systemc-2.3.2.tar.gz
$ cd systemc-2.3.2
$ mkdir build
$ cd build
# Replace /path/to as desired 
$ CXXFLAGS=-std=c++11 ../configure --prefix=/path/to/sc-2.3.2-cpp11/
$ make
$ make install

Verilator 4.016

$ git clone http://git.veripool.org/git/verilator -b v4.016
$ cd verilator
$ autoconf
# Replace /path/to as desired
$ ./configure --prefix=/path/to/verilator-4.016/
$ make
$ make install

libsystemctlm-soc

$ git clone https://github.com/Xilinx/libsystemctlm-soc.git
$ cd libsystemctlm-soc
# Replace /path/to below to where above systemc and verilator was installed 
$ cat > .config.mk <<EOF
SYSTEMC = /path/to/sc-2.3.2-cpp11
VERILATOR=/path/to/verilator-4.016/bin/verilator
CXXFLAGS += -std=c++11
EOF
$ cd tests/rtl-bridges/ace
$ make
$ ./ace-mst-test
$ ./ace-slv-test
$ gtkwave ace-slv-test.vcd
$ gtkwave ace-mst-test.vcd

Best regards,
Francisco Iglesias

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

2 participants