diff --git a/projects/tt_um_rebeccargb_tt09ball_screensaver/LICENSE b/projects/tt_um_rebeccargb_tt09ball_screensaver/LICENSE new file mode 100644 index 0000000..261eeb9 --- /dev/null +++ b/projects/tt_um_rebeccargb_tt09ball_screensaver/LICENSE @@ -0,0 +1,201 @@ + Apache License + Version 2.0, January 2004 + http://www.apache.org/licenses/ + + TERMS AND CONDITIONS FOR USE, REPRODUCTION, AND DISTRIBUTION + + 1. Definitions. + + "License" shall mean the terms and conditions for use, reproduction, + and distribution as defined by Sections 1 through 9 of this document. + + "Licensor" shall mean the copyright owner or entity authorized by + the copyright owner that is granting the License. + + "Legal Entity" shall mean the union of the acting entity and all + other entities that control, are controlled by, or are under common + control with that entity. For the purposes of this definition, + "control" means (i) the power, direct or indirect, to cause the + direction or management of such entity, whether by contract or + otherwise, or (ii) ownership of fifty percent (50%) or more of the + outstanding shares, or (iii) beneficial ownership of such entity. + + "You" (or "Your") shall mean an individual or Legal Entity + exercising permissions granted by this License. + + "Source" form shall mean the preferred form for making modifications, + including but not limited to software source code, documentation + source, and configuration files. + + "Object" form shall mean any form resulting from mechanical + transformation or translation of a Source form, including but + not limited to compiled object code, generated documentation, + and conversions to other media types. + + "Work" shall mean the work of authorship, whether in Source or + Object form, made available under the License, as indicated by a + copyright notice that is included in or attached to the work + (an example is provided in the Appendix below). + + "Derivative Works" shall mean any work, whether in Source or Object + form, that is based on (or derived from) the Work and for which the + editorial revisions, annotations, elaborations, or other modifications + represent, as a whole, an original work of authorship. For the purposes + of this License, Derivative Works shall not include works that remain + separable from, or merely link (or bind by name) to the interfaces of, + the Work and Derivative Works thereof. + + "Contribution" shall mean any work of authorship, including + the original version of the Work and any modifications or additions + to that Work or Derivative Works thereof, that is intentionally + submitted to Licensor for inclusion in the Work by the copyright owner + or by an individual or Legal Entity authorized to submit on behalf of + the copyright owner. For the purposes of this definition, "submitted" + means any form of electronic, verbal, or written communication sent + to the Licensor or its representatives, including but not limited to + communication on electronic mailing lists, source code control systems, + and issue tracking systems that are managed by, or on behalf of, the + Licensor for the purpose of discussing and improving the Work, but + excluding communication that is conspicuously marked or otherwise + designated in writing by the copyright owner as "Not a Contribution." + + "Contributor" shall mean Licensor and any individual or Legal Entity + on behalf of whom a Contribution has been received by Licensor and + subsequently incorporated within the Work. + + 2. Grant of Copyright License. Subject to the terms and conditions of + this License, each Contributor hereby grants to You a perpetual, + worldwide, non-exclusive, no-charge, royalty-free, irrevocable + copyright license to reproduce, prepare Derivative Works of, + publicly display, publicly perform, sublicense, and distribute the + Work and such Derivative Works in Source or Object form. + + 3. Grant of Patent License. Subject to the terms and conditions of + this License, each Contributor hereby grants to You a perpetual, + worldwide, non-exclusive, no-charge, royalty-free, irrevocable + (except as stated in this section) patent license to make, have made, + use, offer to sell, sell, import, and otherwise transfer the Work, + where such license applies only to those patent claims licensable + by such Contributor that are necessarily infringed by their + Contribution(s) alone or by combination of their Contribution(s) + with the Work to which such Contribution(s) was submitted. If You + institute patent litigation against any entity (including a + cross-claim or counterclaim in a lawsuit) alleging that the Work + or a Contribution incorporated within the Work constitutes direct + or contributory patent infringement, then any patent licenses + granted to You under this License for that Work shall terminate + as of the date such litigation is filed. + + 4. Redistribution. You may reproduce and distribute copies of the + Work or Derivative Works thereof in any medium, with or without + modifications, and in Source or Object form, provided that You + meet the following conditions: + + (a) You must give any other recipients of the Work or + Derivative Works a copy of this License; and + + (b) You must cause any modified files to carry prominent notices + stating that You changed the files; and + + (c) You must retain, in the Source form of any Derivative Works + that You distribute, all copyright, patent, trademark, and + attribution notices from the Source form of the Work, + excluding those notices that do not pertain to any part of + the Derivative Works; and + + (d) If the Work includes a "NOTICE" text file as part of its + distribution, then any Derivative Works that You distribute must + include a readable copy of the attribution notices contained + within such NOTICE file, excluding those notices that do not + pertain to any part of the Derivative Works, in at least one + of the following places: within a NOTICE text file distributed + as part of the Derivative Works; within the Source form or + documentation, if provided along with the Derivative Works; or, + within a display generated by the Derivative Works, if and + wherever such third-party notices normally appear. The contents + of the NOTICE file are for informational purposes only and + do not modify the License. You may add Your own attribution + notices within Derivative Works that You distribute, alongside + or as an addendum to the NOTICE text from the Work, provided + that such additional attribution notices cannot be construed + as modifying the License. + + You may add Your own copyright statement to Your modifications and + may provide additional or different license terms and conditions + for use, reproduction, or distribution of Your modifications, or + for any such Derivative Works as a whole, provided Your use, + reproduction, and distribution of the Work otherwise complies with + the conditions stated in this License. + + 5. Submission of Contributions. Unless You explicitly state otherwise, + any Contribution intentionally submitted for inclusion in the Work + by You to the Licensor shall be under the terms and conditions of + this License, without any additional terms or conditions. + Notwithstanding the above, nothing herein shall supersede or modify + the terms of any separate license agreement you may have executed + with Licensor regarding such Contributions. + + 6. Trademarks. This License does not grant permission to use the trade + names, trademarks, service marks, or product names of the Licensor, + except as required for reasonable and customary use in describing the + origin of the Work and reproducing the content of the NOTICE file. + + 7. Disclaimer of Warranty. Unless required by applicable law or + agreed to in writing, Licensor provides the Work (and each + Contributor provides its Contributions) on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or + implied, including, without limitation, any warranties or conditions + of TITLE, NON-INFRINGEMENT, MERCHANTABILITY, or FITNESS FOR A + PARTICULAR PURPOSE. You are solely responsible for determining the + appropriateness of using or redistributing the Work and assume any + risks associated with Your exercise of permissions under this License. + + 8. Limitation of Liability. In no event and under no legal theory, + whether in tort (including negligence), contract, or otherwise, + unless required by applicable law (such as deliberate and grossly + negligent acts) or agreed to in writing, shall any Contributor be + liable to You for damages, including any direct, indirect, special, + incidental, or consequential damages of any character arising as a + result of this License or out of the use or inability to use the + Work (including but not limited to damages for loss of goodwill, + work stoppage, computer failure or malfunction, or any and all + other commercial damages or losses), even if such Contributor + has been advised of the possibility of such damages. + + 9. Accepting Warranty or Additional Liability. While redistributing + the Work or Derivative Works thereof, You may choose to offer, + and charge a fee for, acceptance of support, warranty, indemnity, + or other liability obligations and/or rights consistent with this + License. However, in accepting such obligations, You may act only + on Your own behalf and on Your sole responsibility, not on behalf + of any other Contributor, and only if You agree to indemnify, + defend, and hold each Contributor harmless for any liability + incurred by, or claims asserted against, such Contributor by reason + of your accepting any such warranty or additional liability. + + END OF TERMS AND CONDITIONS + + APPENDIX: How to apply the Apache License to your work. + + To apply the Apache License to your work, attach the following + boilerplate notice, with the fields enclosed by brackets "[]" + replaced with your own identifying information. (Don't include + the brackets!) The text should be enclosed in the appropriate + comment syntax for the file format. We also recommend that a + file or class name and description of purpose be included on the + same "printed page" as the copyright notice for easier + identification within third-party archives. + + Copyright [yyyy] [name of copyright owner] + + Licensed under the Apache License, Version 2.0 (the "License"); + you may not use this file except in compliance with the License. + You may obtain a copy of the License at + + http://www.apache.org/licenses/LICENSE-2.0 + + Unless required by applicable law or agreed to in writing, software + distributed under the License is distributed on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + See the License for the specific language governing permissions and + limitations under the License. diff --git a/projects/tt_um_rebeccargb_tt09ball_screensaver/commit_id.json b/projects/tt_um_rebeccargb_tt09ball_screensaver/commit_id.json new file mode 100644 index 0000000..5dfcc42 --- /dev/null +++ b/projects/tt_um_rebeccargb_tt09ball_screensaver/commit_id.json @@ -0,0 +1,9 @@ +{ + "app": "Tiny Tapeout tt09 587b6cb0", + "repo": "https://github.com/RebeccaRGB/tt09ball-screensaver", + "commit": "7eb3329c77315e8571a9719a95f878c45eb3de9a", + "workflow_url": "https://github.com/RebeccaRGB/tt09ball-screensaver/actions/runs/10773414460", + "sort_id": 1725886973014, + "openlane_version": "OpenLane2 2.0.8", + "pdk_version": "open_pdks bdc9412b3e468c102d01b7cf6337be06ec6e9c9a" +} \ No newline at end of file diff --git a/projects/tt_um_rebeccargb_tt09ball_screensaver/docs/info.md b/projects/tt_um_rebeccargb_tt09ball_screensaver/docs/info.md new file mode 100644 index 0000000..157215a --- /dev/null +++ b/projects/tt_um_rebeccargb_tt09ball_screensaver/docs/info.md @@ -0,0 +1,24 @@ + + +## How it works + +Displays a bouncing Tiny Tapeout logo and THE STRONGEST on the screen, with animated color gradient. + +![THE STRONGEST screensaver](screensaver.gif) + +## How to test + +Connect to a VGA monitor. Set the following inputs to configure the design: +- `tile` (ui_in[0]) to repeat the logo and tile it across the screen, +- `solid_color` (ui_in[1]) to use a solid color instead of an animated gradient. + +## External hardware + +[TinyVGA PMOD](https://github.com/mole99/tiny-vga) diff --git a/projects/tt_um_rebeccargb_tt09ball_screensaver/docs/screensaver.gif b/projects/tt_um_rebeccargb_tt09ball_screensaver/docs/screensaver.gif new file mode 100644 index 0000000..4e735f1 Binary files /dev/null and b/projects/tt_um_rebeccargb_tt09ball_screensaver/docs/screensaver.gif differ diff --git a/projects/tt_um_rebeccargb_tt09ball_screensaver/info.yaml b/projects/tt_um_rebeccargb_tt09ball_screensaver/info.yaml new file mode 100644 index 0000000..9ed3bcb --- /dev/null +++ b/projects/tt_um_rebeccargb_tt09ball_screensaver/info.yaml @@ -0,0 +1,58 @@ +# Tiny Tapeout project information +project: + title: "TT09Ball VGA Screensaver" # Project title + author: "Rebecca G. Bettencourt; Uri Shaked" # Your name + discord: "YoukaiRempeka" # Your discord username, for communication and automatically assigning you a Tapeout role (optional) + description: "Tiny Tapeout Logo and THE STRONGEST bouncing around the screen (640x480, TinyVGA Pmod)" # One line description of what your project does + language: "Verilog" # other examples include SystemVerilog, Amaranth, VHDL, etc + clock_hz: 0 # Clock frequency in Hz (or 0 if not applicable) + + # How many tiles your design occupies? A single tile is about 167x108 uM. + tiles: "1x1" # Valid values: 1x1, 1x2, 2x2, 3x2, 4x2, 6x2 or 8x2 + + # Your top module name must start with "tt_um_". Make it unique by including your github username: + top_module: "tt_um_rebeccargb_tt09ball_screensaver" + + # List your project's source files here. + # Source files must be in ./src and you must list each source file separately, one per line. + # Don't forget to also update `PROJECT_SOURCES` in test/Makefile. + source_files: + - "screensaver.v" + - "bitmap_rom.v" + - "vga_sync_generator.v" + - "palette.v" + +# The pinout of your project. Leave unused pins blank. DO NOT delete or add any pins. +pinout: + # Inputs + ui[0]: "tile" + ui[1]: "solid_color" + ui[2]: "" + ui[3]: "" + ui[4]: "" + ui[5]: "" + ui[6]: "" + ui[7]: "" + + # Outputs + uo[0]: "R1" + uo[1]: "G1" + uo[2]: "B1" + uo[3]: "VSync" + uo[4]: "R0" + uo[5]: "G0" + uo[6]: "B0" + uo[7]: "HSync" + + # Bidirectional pins + uio[0]: "" + uio[1]: "" + uio[2]: "" + uio[3]: "" + uio[4]: "" + uio[5]: "" + uio[6]: "" + uio[7]: "" + +# Do not change! +yaml_version: 6 diff --git a/projects/tt_um_rebeccargb_tt09ball_screensaver/stats/metrics.csv b/projects/tt_um_rebeccargb_tt09ball_screensaver/stats/metrics.csv new file mode 100644 index 0000000..f004503 --- /dev/null +++ b/projects/tt_um_rebeccargb_tt09ball_screensaver/stats/metrics.csv @@ -0,0 +1,283 @@ +Metric,Value +design__lint_error__count,0 +design__lint_timing_construct__count,0 +design__lint_warning__count,2 +design__inferred_latch__count,0 +design__instance__count,1366 +design__instance__area,9835.68 +design__instance_unmapped__count,0 +synthesis__check_error__count,0 +design__max_slew_violation__count__corner:nom_tt_025C_1v80,0 +design__max_fanout_violation__count__corner:nom_tt_025C_1v80,0 +design__max_cap_violation__count__corner:nom_tt_025C_1v80,0 +power__internal__total,0.0004697071562986821 +power__switching__total,0.00053610117174685 +power__leakage__total,1.0775097258886035e-08 +power__total,0.0010058191837742925 +clock__skew__worst_hold__corner:nom_tt_025C_1v80,0.016452 +clock__skew__worst_setup__corner:nom_tt_025C_1v80,0.016452 +timing__hold__ws__corner:nom_tt_025C_1v80,0.328143 +timing__setup__ws__corner:nom_tt_025C_1v80,14.092603 +timing__hold__tns__corner:nom_tt_025C_1v80,0.0 +timing__setup__tns__corner:nom_tt_025C_1v80,0.0 +timing__hold__wns__corner:nom_tt_025C_1v80,0.0 +timing__setup__wns__corner:nom_tt_025C_1v80,0.0 +timing__hold_vio__count__corner:nom_tt_025C_1v80,0 +timing__hold_r2r__ws__corner:nom_tt_025C_1v80,0.328143 +timing__hold_r2r_vio__count__corner:nom_tt_025C_1v80,0 +timing__setup_vio__count__corner:nom_tt_025C_1v80,0 +timing__setup_r2r__ws__corner:nom_tt_025C_1v80,14.092603 +timing__setup_r2r_vio__count__corner:nom_tt_025C_1v80,0 +design__max_slew_violation__count__corner:nom_ss_100C_1v60,20 +design__max_fanout_violation__count__corner:nom_ss_100C_1v60,0 +design__max_cap_violation__count__corner:nom_ss_100C_1v60,0 +clock__skew__worst_hold__corner:nom_ss_100C_1v60,0.024656 +clock__skew__worst_setup__corner:nom_ss_100C_1v60,0.024656 +timing__hold__ws__corner:nom_ss_100C_1v60,0.865884 +timing__setup__ws__corner:nom_ss_100C_1v60,8.650391 +timing__hold__tns__corner:nom_ss_100C_1v60,0.0 +timing__setup__tns__corner:nom_ss_100C_1v60,0.0 +timing__hold__wns__corner:nom_ss_100C_1v60,0.0 +timing__setup__wns__corner:nom_ss_100C_1v60,0.0 +timing__hold_vio__count__corner:nom_ss_100C_1v60,0 +timing__hold_r2r__ws__corner:nom_ss_100C_1v60,0.865884 +timing__hold_r2r_vio__count__corner:nom_ss_100C_1v60,0 +timing__setup_vio__count__corner:nom_ss_100C_1v60,0 +timing__setup_r2r__ws__corner:nom_ss_100C_1v60,8.650391 +timing__setup_r2r_vio__count__corner:nom_ss_100C_1v60,0 +design__max_slew_violation__count__corner:nom_ff_n40C_1v95,0 +design__max_fanout_violation__count__corner:nom_ff_n40C_1v95,0 +design__max_cap_violation__count__corner:nom_ff_n40C_1v95,0 +clock__skew__worst_hold__corner:nom_ff_n40C_1v95,0.013012 +clock__skew__worst_setup__corner:nom_ff_n40C_1v95,0.013012 +timing__hold__ws__corner:nom_ff_n40C_1v95,0.119959 +timing__setup__ws__corner:nom_ff_n40C_1v95,14.868401 +timing__hold__tns__corner:nom_ff_n40C_1v95,0.0 +timing__setup__tns__corner:nom_ff_n40C_1v95,0.0 +timing__hold__wns__corner:nom_ff_n40C_1v95,0.0 +timing__setup__wns__corner:nom_ff_n40C_1v95,0.0 +timing__hold_vio__count__corner:nom_ff_n40C_1v95,0 +timing__hold_r2r__ws__corner:nom_ff_n40C_1v95,0.119959 +timing__hold_r2r_vio__count__corner:nom_ff_n40C_1v95,0 +timing__setup_vio__count__corner:nom_ff_n40C_1v95,0 +timing__setup_r2r__ws__corner:nom_ff_n40C_1v95,18.905392 +timing__setup_r2r_vio__count__corner:nom_ff_n40C_1v95,0 +design__max_slew_violation__count,52 +design__max_fanout_violation__count,0 +design__max_cap_violation__count,0 +clock__skew__worst_hold,0.026565 +clock__skew__worst_setup,0.012071 +timing__hold__ws,0.117077 +timing__setup__ws,8.427634 +timing__hold__tns,0.0 +timing__setup__tns,0.0 +timing__hold__wns,0.0 +timing__setup__wns,0.0 +timing__hold_vio__count,0 +timing__hold_r2r__ws,0.117077 +timing__hold_r2r_vio__count,0 +timing__setup_vio__count,0 +timing__setup_r2r__ws,8.427634 +timing__setup_r2r_vio__count,0 +design__die__bbox,0.0 0.0 161.0 111.52 +design__core__bbox,2.76 2.72 158.24 108.8 +flow__warnings__count,1 +flow__errors__count,0 +design__io,45 +design__die__area,17954.7 +design__core__area,16493.3 +design__instance__count__stdcell,1366 +design__instance__area__stdcell,9835.68 +design__instance__count__macros,0 +design__instance__area__macros,0 +design__instance__utilization,0.596343 +design__instance__utilization__stdcell,0.596343 +design__power_grid_violation__count__net:VGND,0 +design__power_grid_violation__count__net:VPWR,0 +design__power_grid_violation__count,0 +timing__drv__floating__nets,0 +timing__drv__floating__pins,0 +design__instance__displacement__total,0 +design__instance__displacement__mean,0 +design__instance__displacement__max,0 +route__wirelength__estimated,31852.8 +design__violations,0 +design__instance__count__setup_buffer,0 +design__instance__count__hold_buffer,28 +antenna__violating__nets,2 +antenna__violating__pins,2 +route__antenna_violation__count,2 +route__net,1160 +route__net__special,2 +route__drc_errors__iter:1,2079 +route__wirelength__iter:1,40984 +route__drc_errors__iter:2,1044 +route__wirelength__iter:2,40502 +route__drc_errors__iter:3,838 +route__wirelength__iter:3,40119 +route__drc_errors__iter:4,618 +route__wirelength__iter:4,40209 +route__drc_errors__iter:5,274 +route__wirelength__iter:5,40086 +route__drc_errors__iter:6,150 +route__wirelength__iter:6,40058 +route__drc_errors__iter:7,145 +route__wirelength__iter:7,40039 +route__drc_errors__iter:8,80 +route__wirelength__iter:8,40001 +route__drc_errors__iter:9,17 +route__wirelength__iter:9,39967 +route__drc_errors__iter:10,15 +route__wirelength__iter:10,39964 +route__drc_errors__iter:11,12 +route__wirelength__iter:11,39987 +route__drc_errors__iter:12,0 +route__wirelength__iter:12,40004 +route__drc_errors,0 +route__wirelength,40004 +route__vias,10287 +route__vias__singlecut,10287 +route__vias__multicut,0 +design__disconnected_pin__count,15 +design__critical_disconnected_pin__count,0 +route__wirelength__max,270.93 +timing__unannotated_net__count__corner:nom_tt_025C_1v80,31 +timing__unannotated_net_filtered__count__corner:nom_tt_025C_1v80,0 +timing__unannotated_net__count__corner:nom_ss_100C_1v60,31 +timing__unannotated_net_filtered__count__corner:nom_ss_100C_1v60,0 +timing__unannotated_net__count__corner:nom_ff_n40C_1v95,31 +timing__unannotated_net_filtered__count__corner:nom_ff_n40C_1v95,0 +design__max_slew_violation__count__corner:min_tt_025C_1v80,0 +design__max_fanout_violation__count__corner:min_tt_025C_1v80,0 +design__max_cap_violation__count__corner:min_tt_025C_1v80,0 +clock__skew__worst_hold__corner:min_tt_025C_1v80,0.015321 +clock__skew__worst_setup__corner:min_tt_025C_1v80,0.015321 +timing__hold__ws__corner:min_tt_025C_1v80,0.324381 +timing__setup__ws__corner:min_tt_025C_1v80,14.185884 +timing__hold__tns__corner:min_tt_025C_1v80,0.0 +timing__setup__tns__corner:min_tt_025C_1v80,0.0 +timing__hold__wns__corner:min_tt_025C_1v80,0.0 +timing__setup__wns__corner:min_tt_025C_1v80,0.0 +timing__hold_vio__count__corner:min_tt_025C_1v80,0 +timing__hold_r2r__ws__corner:min_tt_025C_1v80,0.324381 +timing__hold_r2r_vio__count__corner:min_tt_025C_1v80,0 +timing__setup_vio__count__corner:min_tt_025C_1v80,0 +timing__setup_r2r__ws__corner:min_tt_025C_1v80,18.441029 +timing__setup_r2r_vio__count__corner:min_tt_025C_1v80,0 +timing__unannotated_net__count__corner:min_tt_025C_1v80,31 +timing__unannotated_net_filtered__count__corner:min_tt_025C_1v80,0 +design__max_slew_violation__count__corner:min_ss_100C_1v60,8 +design__max_fanout_violation__count__corner:min_ss_100C_1v60,0 +design__max_cap_violation__count__corner:min_ss_100C_1v60,0 +clock__skew__worst_hold__corner:min_ss_100C_1v60,0.022767 +clock__skew__worst_setup__corner:min_ss_100C_1v60,0.022767 +timing__hold__ws__corner:min_ss_100C_1v60,0.859596 +timing__setup__ws__corner:min_ss_100C_1v60,8.905071 +timing__hold__tns__corner:min_ss_100C_1v60,0.0 +timing__setup__tns__corner:min_ss_100C_1v60,0.0 +timing__hold__wns__corner:min_ss_100C_1v60,0.0 +timing__setup__wns__corner:min_ss_100C_1v60,0.0 +timing__hold_vio__count__corner:min_ss_100C_1v60,0 +timing__hold_r2r__ws__corner:min_ss_100C_1v60,0.859596 +timing__hold_r2r_vio__count__corner:min_ss_100C_1v60,0 +timing__setup_vio__count__corner:min_ss_100C_1v60,0 +timing__setup_r2r__ws__corner:min_ss_100C_1v60,8.905071 +timing__setup_r2r_vio__count__corner:min_ss_100C_1v60,0 +timing__unannotated_net__count__corner:min_ss_100C_1v60,31 +timing__unannotated_net_filtered__count__corner:min_ss_100C_1v60,0 +design__max_slew_violation__count__corner:min_ff_n40C_1v95,0 +design__max_fanout_violation__count__corner:min_ff_n40C_1v95,0 +design__max_cap_violation__count__corner:min_ff_n40C_1v95,0 +clock__skew__worst_hold__corner:min_ff_n40C_1v95,0.012071 +clock__skew__worst_setup__corner:min_ff_n40C_1v95,0.012071 +timing__hold__ws__corner:min_ff_n40C_1v95,0.117077 +timing__setup__ws__corner:min_ff_n40C_1v95,14.888309 +timing__hold__tns__corner:min_ff_n40C_1v95,0.0 +timing__setup__tns__corner:min_ff_n40C_1v95,0.0 +timing__hold__wns__corner:min_ff_n40C_1v95,0.0 +timing__setup__wns__corner:min_ff_n40C_1v95,0.0 +timing__hold_vio__count__corner:min_ff_n40C_1v95,0 +timing__hold_r2r__ws__corner:min_ff_n40C_1v95,0.117077 +timing__hold_r2r_vio__count__corner:min_ff_n40C_1v95,0 +timing__setup_vio__count__corner:min_ff_n40C_1v95,0 +timing__setup_r2r__ws__corner:min_ff_n40C_1v95,18.90984 +timing__setup_r2r_vio__count__corner:min_ff_n40C_1v95,0 +timing__unannotated_net__count__corner:min_ff_n40C_1v95,31 +timing__unannotated_net_filtered__count__corner:min_ff_n40C_1v95,0 +design__max_slew_violation__count__corner:max_tt_025C_1v80,0 +design__max_fanout_violation__count__corner:max_tt_025C_1v80,0 +design__max_cap_violation__count__corner:max_tt_025C_1v80,0 +clock__skew__worst_hold__corner:max_tt_025C_1v80,0.017717 +clock__skew__worst_setup__corner:max_tt_025C_1v80,0.017717 +timing__hold__ws__corner:max_tt_025C_1v80,0.331673 +timing__setup__ws__corner:max_tt_025C_1v80,13.963017 +timing__hold__tns__corner:max_tt_025C_1v80,0.0 +timing__setup__tns__corner:max_tt_025C_1v80,0.0 +timing__hold__wns__corner:max_tt_025C_1v80,0.0 +timing__setup__wns__corner:max_tt_025C_1v80,0.0 +timing__hold_vio__count__corner:max_tt_025C_1v80,0 +timing__hold_r2r__ws__corner:max_tt_025C_1v80,0.331673 +timing__hold_r2r_vio__count__corner:max_tt_025C_1v80,0 +timing__setup_vio__count__corner:max_tt_025C_1v80,0 +timing__setup_r2r__ws__corner:max_tt_025C_1v80,13.963017 +timing__setup_r2r_vio__count__corner:max_tt_025C_1v80,0 +timing__unannotated_net__count__corner:max_tt_025C_1v80,31 +timing__unannotated_net_filtered__count__corner:max_tt_025C_1v80,0 +design__max_slew_violation__count__corner:max_ss_100C_1v60,52 +design__max_fanout_violation__count__corner:max_ss_100C_1v60,0 +design__max_cap_violation__count__corner:max_ss_100C_1v60,0 +clock__skew__worst_hold__corner:max_ss_100C_1v60,0.026565 +clock__skew__worst_setup__corner:max_ss_100C_1v60,0.026565 +timing__hold__ws__corner:max_ss_100C_1v60,0.872198 +timing__setup__ws__corner:max_ss_100C_1v60,8.427634 +timing__hold__tns__corner:max_ss_100C_1v60,0.0 +timing__setup__tns__corner:max_ss_100C_1v60,0.0 +timing__hold__wns__corner:max_ss_100C_1v60,0.0 +timing__setup__wns__corner:max_ss_100C_1v60,0.0 +timing__hold_vio__count__corner:max_ss_100C_1v60,0 +timing__hold_r2r__ws__corner:max_ss_100C_1v60,0.872198 +timing__hold_r2r_vio__count__corner:max_ss_100C_1v60,0 +timing__setup_vio__count__corner:max_ss_100C_1v60,0 +timing__setup_r2r__ws__corner:max_ss_100C_1v60,8.427634 +timing__setup_r2r_vio__count__corner:max_ss_100C_1v60,0 +timing__unannotated_net__count__corner:max_ss_100C_1v60,31 +timing__unannotated_net_filtered__count__corner:max_ss_100C_1v60,0 +design__max_slew_violation__count__corner:max_ff_n40C_1v95,0 +design__max_fanout_violation__count__corner:max_ff_n40C_1v95,0 +design__max_cap_violation__count__corner:max_ff_n40C_1v95,0 +clock__skew__worst_hold__corner:max_ff_n40C_1v95,0.014156 +clock__skew__worst_setup__corner:max_ff_n40C_1v95,0.014156 +timing__hold__ws__corner:max_ff_n40C_1v95,0.122523 +timing__setup__ws__corner:max_ff_n40C_1v95,14.853297 +timing__hold__tns__corner:max_ff_n40C_1v95,0.0 +timing__setup__tns__corner:max_ff_n40C_1v95,0.0 +timing__hold__wns__corner:max_ff_n40C_1v95,0.0 +timing__setup__wns__corner:max_ff_n40C_1v95,0.0 +timing__hold_vio__count__corner:max_ff_n40C_1v95,0 +timing__hold_r2r__ws__corner:max_ff_n40C_1v95,0.122523 +timing__hold_r2r_vio__count__corner:max_ff_n40C_1v95,0 +timing__setup_vio__count__corner:max_ff_n40C_1v95,0 +timing__setup_r2r__ws__corner:max_ff_n40C_1v95,18.900803 +timing__setup_r2r_vio__count__corner:max_ff_n40C_1v95,0 +timing__unannotated_net__count__corner:max_ff_n40C_1v95,31 +timing__unannotated_net_filtered__count__corner:max_ff_n40C_1v95,0 +timing__unannotated_net__count,31 +timing__unannotated_net_filtered__count,0 +design_powergrid__voltage__worst__net:VPWR__corner:nom_tt_025C_1v80,1.79992 +design_powergrid__drop__average__net:VPWR__corner:nom_tt_025C_1v80,1.79998 +design_powergrid__drop__worst__net:VPWR__corner:nom_tt_025C_1v80,0.0000838432 +design_powergrid__voltage__worst__net:VGND__corner:nom_tt_025C_1v80,0.0000655399 +design_powergrid__drop__average__net:VGND__corner:nom_tt_025C_1v80,0.0000172743 +design_powergrid__drop__worst__net:VGND__corner:nom_tt_025C_1v80,0.0000655399 +ir__voltage__worst,1.8000000000000000444089209850062616169452667236328125 +ir__drop__avg,0.00001709999999999999890855535700229239637337741442024707794189453125 +ir__drop__worst,0.00008380000000000000404294653311154661423643119633197784423828125 +magic__drc_error__count,0 +magic__illegal_overlap__count,0 +design__lvs_device_difference__count,0 +design__lvs_net_difference__count,0 +design__lvs_property_fail__count,0 +design__lvs_error__count,0 +design__lvs_unmatched_device__count,0 +design__lvs_unmatched_net__count,0 +design__lvs_unmatched_pin__count,0 diff --git a/projects/tt_um_rebeccargb_tt09ball_screensaver/stats/synthesis-stats.txt b/projects/tt_um_rebeccargb_tt09ball_screensaver/stats/synthesis-stats.txt new file mode 100644 index 0000000..ec49db1 --- /dev/null +++ b/projects/tt_um_rebeccargb_tt09ball_screensaver/stats/synthesis-stats.txt @@ -0,0 +1,73 @@ +64. Printing statistics. + +=== tt_um_rebeccargb_tt09ball_screensaver === + + Number of wires: 954 + Number of wire bits: 989 + Number of public wires: 65 + Number of public wire bits: 100 + Number of memories: 0 + Number of memory bits: 0 + Number of processes: 0 + Number of cells: 970 + sky130_fd_sc_hd__a2111o_2 5 + sky130_fd_sc_hd__a211o_2 40 + sky130_fd_sc_hd__a211oi_2 1 + sky130_fd_sc_hd__a21bo_2 6 + sky130_fd_sc_hd__a21boi_2 1 + sky130_fd_sc_hd__a21o_2 55 + sky130_fd_sc_hd__a21oi_2 45 + sky130_fd_sc_hd__a221o_2 19 + sky130_fd_sc_hd__a221oi_2 4 + sky130_fd_sc_hd__a22o_2 15 + sky130_fd_sc_hd__a2bb2o_2 2 + sky130_fd_sc_hd__a311o_2 19 + sky130_fd_sc_hd__a311oi_2 1 + sky130_fd_sc_hd__a31o_2 38 + sky130_fd_sc_hd__a31oi_2 6 + sky130_fd_sc_hd__a41o_2 2 + sky130_fd_sc_hd__and2_2 23 + sky130_fd_sc_hd__and2b_2 18 + sky130_fd_sc_hd__and3_2 38 + sky130_fd_sc_hd__and3b_2 12 + sky130_fd_sc_hd__and4_2 2 + sky130_fd_sc_hd__and4b_2 1 + sky130_fd_sc_hd__and4bb_2 2 + sky130_fd_sc_hd__buf_2 19 + sky130_fd_sc_hd__dfxtp_2 62 + sky130_fd_sc_hd__inv_2 28 + sky130_fd_sc_hd__mux2_1 20 + sky130_fd_sc_hd__nand2_2 62 + sky130_fd_sc_hd__nand2b_2 6 + sky130_fd_sc_hd__nand3_2 3 + sky130_fd_sc_hd__nand4_2 1 + sky130_fd_sc_hd__nor2_2 66 + sky130_fd_sc_hd__nor3_2 3 + sky130_fd_sc_hd__o2111a_2 1 + sky130_fd_sc_hd__o2111ai_2 1 + sky130_fd_sc_hd__o211a_2 36 + sky130_fd_sc_hd__o211ai_2 3 + sky130_fd_sc_hd__o21a_2 21 + sky130_fd_sc_hd__o21ai_2 35 + sky130_fd_sc_hd__o21ba_2 1 + sky130_fd_sc_hd__o21bai_2 2 + sky130_fd_sc_hd__o221a_2 28 + sky130_fd_sc_hd__o221ai_2 3 + sky130_fd_sc_hd__o22a_2 10 + sky130_fd_sc_hd__o2bb2a_2 1 + sky130_fd_sc_hd__o311a_2 31 + sky130_fd_sc_hd__o31a_2 19 + sky130_fd_sc_hd__o31ai_2 3 + sky130_fd_sc_hd__o32a_2 7 + sky130_fd_sc_hd__o41a_2 4 + sky130_fd_sc_hd__or2_2 31 + sky130_fd_sc_hd__or3_2 30 + sky130_fd_sc_hd__or3b_2 7 + sky130_fd_sc_hd__or4_2 17 + sky130_fd_sc_hd__or4b_2 2 + sky130_fd_sc_hd__or4bb_2 1 + sky130_fd_sc_hd__xnor2_2 32 + sky130_fd_sc_hd__xor2_2 19 + + Chip area for module '\tt_um_rebeccargb_tt09ball_screensaver': 9607.964800 + diff --git a/projects/tt_um_rebeccargb_tt09ball_screensaver/tt_um_rebeccargb_tt09ball_screensaver.gds b/projects/tt_um_rebeccargb_tt09ball_screensaver/tt_um_rebeccargb_tt09ball_screensaver.gds new file mode 100644 index 0000000..9dc0a6d Binary files /dev/null and b/projects/tt_um_rebeccargb_tt09ball_screensaver/tt_um_rebeccargb_tt09ball_screensaver.gds differ diff --git a/projects/tt_um_rebeccargb_tt09ball_screensaver/tt_um_rebeccargb_tt09ball_screensaver.lef b/projects/tt_um_rebeccargb_tt09ball_screensaver/tt_um_rebeccargb_tt09ball_screensaver.lef new file mode 100644 index 0000000..afb3f71 --- /dev/null +++ b/projects/tt_um_rebeccargb_tt09ball_screensaver/tt_um_rebeccargb_tt09ball_screensaver.lef @@ -0,0 +1,508 @@ +VERSION 5.7 ; + NOWIREEXTENSIONATPIN ON ; + DIVIDERCHAR "/" ; + BUSBITCHARS "[]" ; +MACRO tt_um_rebeccargb_tt09ball_screensaver + CLASS BLOCK ; + FOREIGN tt_um_rebeccargb_tt09ball_screensaver ; + ORIGIN 0.000 0.000 ; + SIZE 161.000 BY 111.520 ; + PIN VGND + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER met4 ; + RECT 21.580 2.480 23.180 109.040 ; + END + PORT + LAYER met4 ; + RECT 60.450 2.480 62.050 109.040 ; + END + PORT + LAYER met4 ; + RECT 99.320 2.480 100.920 109.040 ; + END + PORT + LAYER met4 ; + RECT 138.190 2.480 139.790 109.040 ; + END + END VGND + PIN VPWR + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER met4 ; + RECT 18.280 2.480 19.880 109.040 ; + END + PORT + LAYER met4 ; + RECT 57.150 2.480 58.750 109.040 ; + END + PORT + LAYER met4 ; + RECT 96.020 2.480 97.620 109.040 ; + END + PORT + LAYER met4 ; + RECT 134.890 2.480 136.490 109.040 ; + END + END VPWR + PIN clk + DIRECTION INPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 0.852000 ; + PORT + LAYER met4 ; + RECT 143.830 110.520 144.130 111.520 ; + END + END clk + PIN ena + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 146.590 110.520 146.890 111.520 ; + END + END ena + PIN rst_n + DIRECTION INPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 0.196500 ; + PORT + LAYER met4 ; + RECT 141.070 110.520 141.370 111.520 ; + END + END rst_n + PIN ui_in[0] + DIRECTION INPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 0.196500 ; + PORT + LAYER met4 ; + RECT 138.310 110.520 138.610 111.520 ; + END + END ui_in[0] + PIN ui_in[1] + DIRECTION INPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 0.213000 ; + PORT + LAYER met4 ; + RECT 135.550 110.520 135.850 111.520 ; + END + END ui_in[1] + PIN ui_in[2] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 132.790 110.520 133.090 111.520 ; + END + END ui_in[2] + PIN ui_in[3] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 130.030 110.520 130.330 111.520 ; + END + END ui_in[3] + PIN ui_in[4] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 127.270 110.520 127.570 111.520 ; + END + END ui_in[4] + PIN ui_in[5] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 124.510 110.520 124.810 111.520 ; + END + END ui_in[5] + PIN ui_in[6] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 121.750 110.520 122.050 111.520 ; + END + END ui_in[6] + PIN ui_in[7] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 118.990 110.520 119.290 111.520 ; + END + END ui_in[7] + PIN uio_in[0] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 116.230 110.520 116.530 111.520 ; + END + END uio_in[0] + PIN uio_in[1] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 113.470 110.520 113.770 111.520 ; + END + END uio_in[1] + PIN uio_in[2] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 110.710 110.520 111.010 111.520 ; + END + END uio_in[2] + PIN uio_in[3] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 107.950 110.520 108.250 111.520 ; + END + END uio_in[3] + PIN uio_in[4] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 105.190 110.520 105.490 111.520 ; + END + END uio_in[4] + PIN uio_in[5] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 102.430 110.520 102.730 111.520 ; + END + END uio_in[5] + PIN uio_in[6] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 99.670 110.520 99.970 111.520 ; + END + END uio_in[6] + PIN uio_in[7] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 96.910 110.520 97.210 111.520 ; + END + END uio_in[7] + PIN uio_oe[0] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 49.990 110.520 50.290 111.520 ; + END + END uio_oe[0] + PIN uio_oe[1] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 47.230 110.520 47.530 111.520 ; + END + END uio_oe[1] + PIN uio_oe[2] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 44.470 110.520 44.770 111.520 ; + END + END uio_oe[2] + PIN uio_oe[3] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 41.710 110.520 42.010 111.520 ; + END + END uio_oe[3] + PIN uio_oe[4] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 38.950 110.520 39.250 111.520 ; + END + END uio_oe[4] + PIN uio_oe[5] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 36.190 110.520 36.490 111.520 ; + END + END uio_oe[5] + PIN uio_oe[6] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 33.430 110.520 33.730 111.520 ; + END + END uio_oe[6] + PIN uio_oe[7] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 30.670 110.520 30.970 111.520 ; + END + END uio_oe[7] + PIN uio_out[0] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 72.070 110.520 72.370 111.520 ; + END + END uio_out[0] + PIN uio_out[1] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 69.310 110.520 69.610 111.520 ; + END + END uio_out[1] + PIN uio_out[2] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 66.550 110.520 66.850 111.520 ; + END + END uio_out[2] + PIN uio_out[3] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 63.790 110.520 64.090 111.520 ; + END + END uio_out[3] + PIN uio_out[4] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 61.030 110.520 61.330 111.520 ; + END + END uio_out[4] + PIN uio_out[5] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 58.270 110.520 58.570 111.520 ; + END + END uio_out[5] + PIN uio_out[6] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 55.510 110.520 55.810 111.520 ; + END + END uio_out[6] + PIN uio_out[7] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 52.750 110.520 53.050 111.520 ; + END + END uio_out[7] + PIN uo_out[0] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 94.150 110.520 94.450 111.520 ; + END + END uo_out[0] + PIN uo_out[1] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 91.390 110.520 91.690 111.520 ; + END + END uo_out[1] + PIN uo_out[2] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 88.630 110.520 88.930 111.520 ; + END + END uo_out[2] + PIN uo_out[3] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.795200 ; + PORT + LAYER met4 ; + RECT 85.870 110.520 86.170 111.520 ; + END + END uo_out[3] + PIN uo_out[4] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 83.110 110.520 83.410 111.520 ; + END + END uo_out[4] + PIN uo_out[5] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 80.350 110.520 80.650 111.520 ; + END + END uo_out[5] + PIN uo_out[6] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 0.159000 ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 77.590 110.520 77.890 111.520 ; + END + END uo_out[6] + PIN uo_out[7] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 74.830 110.520 75.130 111.520 ; + END + END uo_out[7] + OBS + LAYER nwell ; + RECT 2.570 107.385 158.430 108.990 ; + RECT 2.570 101.945 158.430 104.775 ; + RECT 2.570 96.505 158.430 99.335 ; + RECT 2.570 91.065 158.430 93.895 ; + RECT 2.570 85.625 158.430 88.455 ; + RECT 2.570 80.185 158.430 83.015 ; + RECT 2.570 74.745 158.430 77.575 ; + RECT 2.570 69.305 158.430 72.135 ; + RECT 2.570 63.865 158.430 66.695 ; + RECT 2.570 58.425 158.430 61.255 ; + RECT 2.570 52.985 158.430 55.815 ; + RECT 2.570 47.545 158.430 50.375 ; + RECT 2.570 42.105 158.430 44.935 ; + RECT 2.570 36.665 158.430 39.495 ; + RECT 2.570 31.225 158.430 34.055 ; + RECT 2.570 25.785 158.430 28.615 ; + RECT 2.570 20.345 158.430 23.175 ; + RECT 2.570 14.905 158.430 17.735 ; + RECT 2.570 9.465 158.430 12.295 ; + RECT 2.570 4.025 158.430 6.855 ; + LAYER li1 ; + RECT 2.760 2.635 158.240 108.885 ; + LAYER met1 ; + RECT 2.760 2.480 158.240 110.460 ; + LAYER met2 ; + RECT 6.080 2.535 156.770 110.685 ; + LAYER met3 ; + RECT 11.565 2.555 156.795 110.665 ; + LAYER met4 ; + RECT 24.215 110.120 30.270 111.170 ; + RECT 31.370 110.120 33.030 111.170 ; + RECT 34.130 110.120 35.790 111.170 ; + RECT 36.890 110.120 38.550 111.170 ; + RECT 39.650 110.120 41.310 111.170 ; + RECT 42.410 110.120 44.070 111.170 ; + RECT 45.170 110.120 46.830 111.170 ; + RECT 47.930 110.120 49.590 111.170 ; + RECT 50.690 110.120 52.350 111.170 ; + RECT 53.450 110.120 55.110 111.170 ; + RECT 56.210 110.120 57.870 111.170 ; + RECT 58.970 110.120 60.630 111.170 ; + RECT 61.730 110.120 63.390 111.170 ; + RECT 64.490 110.120 66.150 111.170 ; + RECT 67.250 110.120 68.910 111.170 ; + RECT 70.010 110.120 71.670 111.170 ; + RECT 72.770 110.120 74.430 111.170 ; + RECT 75.530 110.120 77.190 111.170 ; + RECT 78.290 110.120 79.950 111.170 ; + RECT 81.050 110.120 82.710 111.170 ; + RECT 83.810 110.120 85.470 111.170 ; + RECT 86.570 110.120 88.230 111.170 ; + RECT 89.330 110.120 90.990 111.170 ; + RECT 92.090 110.120 93.750 111.170 ; + RECT 94.850 110.120 96.510 111.170 ; + RECT 97.610 110.120 99.270 111.170 ; + RECT 100.370 110.120 102.030 111.170 ; + RECT 103.130 110.120 104.790 111.170 ; + RECT 105.890 110.120 107.550 111.170 ; + RECT 108.650 110.120 110.310 111.170 ; + RECT 111.410 110.120 113.070 111.170 ; + RECT 114.170 110.120 115.830 111.170 ; + RECT 116.930 110.120 118.590 111.170 ; + RECT 119.690 110.120 121.350 111.170 ; + RECT 122.450 110.120 124.110 111.170 ; + RECT 125.210 110.120 126.870 111.170 ; + RECT 127.970 110.120 129.630 111.170 ; + RECT 130.730 110.120 132.390 111.170 ; + RECT 133.490 110.120 135.150 111.170 ; + RECT 136.250 110.120 137.910 111.170 ; + RECT 139.010 110.120 140.670 111.170 ; + RECT 141.770 110.120 143.430 111.170 ; + RECT 144.530 110.120 145.985 111.170 ; + RECT 24.215 109.440 145.985 110.120 ; + RECT 24.215 3.575 56.750 109.440 ; + RECT 59.150 3.575 60.050 109.440 ; + RECT 62.450 3.575 95.620 109.440 ; + RECT 98.020 3.575 98.920 109.440 ; + RECT 101.320 3.575 134.490 109.440 ; + RECT 136.890 3.575 137.790 109.440 ; + RECT 140.190 3.575 145.985 109.440 ; + END +END tt_um_rebeccargb_tt09ball_screensaver +END LIBRARY + diff --git a/projects/tt_um_rebeccargb_tt09ball_screensaver/tt_um_rebeccargb_tt09ball_screensaver.v b/projects/tt_um_rebeccargb_tt09ball_screensaver/tt_um_rebeccargb_tt09ball_screensaver.v new file mode 100644 index 0000000..845be6e --- /dev/null +++ b/projects/tt_um_rebeccargb_tt09ball_screensaver/tt_um_rebeccargb_tt09ball_screensaver.v @@ -0,0 +1,14725 @@ +module tt_um_rebeccargb_tt09ball_screensaver (clk, + ena, + rst_n, + VPWR, + VGND, + ui_in, + uio_in, + uio_oe, + uio_out, + uo_out); + input clk; + input ena; + input rst_n; + input VPWR; + input VGND; + input [7:0] ui_in; + input [7:0] uio_in; + output [7:0] uio_oe; + output [7:0] uio_out; + output [7:0] uo_out; + + wire _0000_; + wire _0001_; + wire _0002_; + wire _0003_; + wire _0004_; + wire _0005_; + wire _0006_; + wire _0007_; + wire _0008_; + wire _0009_; + wire _0010_; + wire _0011_; + wire _0012_; + wire _0013_; + wire _0014_; + wire _0015_; + wire _0016_; + wire _0017_; + wire _0018_; + wire _0019_; + wire _0020_; + wire _0021_; + wire _0022_; + wire _0023_; + wire _0024_; + wire _0025_; + wire _0026_; + wire _0027_; + wire _0028_; + wire _0029_; + wire _0030_; + wire _0031_; + wire _0032_; + wire _0033_; + wire _0034_; + wire _0035_; + wire _0036_; + wire _0037_; + wire _0038_; + wire _0039_; + wire _0040_; + wire _0041_; + wire _0042_; + wire _0043_; + wire _0044_; + wire _0045_; + wire _0046_; + wire _0047_; + wire _0048_; + wire _0049_; + wire _0050_; + wire _0051_; + wire _0052_; + wire _0053_; + wire _0054_; + wire _0055_; + wire _0056_; + wire _0057_; + wire _0058_; + wire _0059_; + wire _0060_; + wire _0061_; + wire _0062_; + wire _0063_; + wire _0064_; + wire _0065_; + wire _0066_; + wire _0067_; + wire _0068_; + wire _0069_; + wire _0070_; + wire _0071_; + wire _0072_; + wire _0073_; + wire _0074_; + wire _0075_; + wire _0076_; + wire _0077_; + wire _0078_; + wire _0079_; + wire _0080_; + wire _0081_; + wire _0082_; + wire _0083_; + wire _0084_; + wire _0085_; + wire _0086_; + wire _0087_; + wire _0088_; + wire _0089_; + wire _0090_; + wire _0091_; + wire _0092_; + wire _0093_; + wire _0094_; + wire _0095_; + wire _0096_; + wire _0097_; + wire _0098_; + wire _0099_; + wire _0100_; + wire _0101_; + wire _0102_; + wire _0103_; + wire _0104_; + wire _0105_; + wire _0106_; + wire _0107_; + wire _0108_; + wire _0109_; + wire _0110_; + wire _0111_; + wire _0112_; + wire _0113_; + wire _0114_; + wire _0115_; + wire _0116_; + wire _0117_; + wire _0118_; + wire _0119_; + wire _0120_; + wire _0121_; + wire _0122_; + wire _0123_; + wire _0124_; + wire _0125_; + wire _0126_; + wire _0127_; + wire _0128_; + wire _0129_; + wire _0130_; + wire _0131_; + wire _0132_; + wire _0133_; + wire _0134_; + wire _0135_; + wire _0136_; + wire _0137_; + wire _0138_; + wire _0139_; + wire _0140_; + wire _0141_; + wire _0142_; + wire _0143_; + wire _0144_; + wire _0145_; + wire _0146_; + wire _0147_; + wire _0148_; + wire _0149_; + wire _0150_; + wire _0151_; + wire _0152_; + wire _0153_; + wire _0154_; + wire _0155_; + wire _0156_; + wire _0157_; + wire _0158_; + wire _0159_; + wire _0160_; + wire _0161_; + wire _0162_; + wire _0163_; + wire _0164_; + wire _0165_; + wire _0166_; + wire _0167_; + wire _0168_; + wire _0169_; + wire _0170_; + wire _0171_; + wire _0172_; + wire _0173_; + wire _0174_; + wire _0175_; + wire _0176_; + wire _0177_; + wire _0178_; + wire _0179_; + wire _0180_; + wire _0181_; + wire _0182_; + wire _0183_; + wire _0184_; + wire _0185_; + wire _0186_; + wire _0187_; + wire _0188_; + wire _0189_; + wire _0190_; + wire _0191_; + wire _0192_; + wire _0193_; + wire _0194_; + wire _0195_; + wire _0196_; + wire _0197_; + wire _0198_; + wire _0199_; + wire _0200_; + wire _0201_; + wire _0202_; + wire _0203_; + wire _0204_; + wire _0205_; + wire _0206_; + wire _0207_; + wire _0208_; + wire _0209_; + wire _0210_; + wire _0211_; + wire _0212_; + wire _0213_; + wire _0214_; + wire _0215_; + wire _0216_; + wire _0217_; + wire _0218_; + wire _0219_; + wire _0220_; + wire _0221_; + wire _0222_; + wire _0223_; + wire _0224_; + wire _0225_; + wire _0226_; + wire _0227_; + wire _0228_; + wire _0229_; + wire _0230_; + wire _0231_; + wire _0232_; + wire _0233_; + wire _0234_; + wire _0235_; + wire _0236_; + wire _0237_; + wire _0238_; + wire _0239_; + wire _0240_; + wire _0241_; + wire _0242_; + wire _0243_; + wire _0244_; + wire _0245_; + wire _0246_; + wire _0247_; + wire _0248_; + wire _0249_; + wire _0250_; + wire _0251_; + wire _0252_; + wire _0253_; + wire _0254_; + wire _0255_; + wire _0256_; + wire _0257_; + wire _0258_; + wire _0259_; + wire _0260_; + wire _0261_; + wire _0262_; + wire _0263_; + wire _0264_; + wire _0265_; + wire _0266_; + wire _0267_; + wire _0268_; + wire _0269_; + wire _0270_; + wire _0271_; + wire _0272_; + wire _0273_; + wire _0274_; + wire _0275_; + wire _0276_; + wire _0277_; + wire _0278_; + wire _0279_; + wire _0280_; + wire _0281_; + wire _0282_; + wire _0283_; + wire _0284_; + wire _0285_; + wire _0286_; + wire _0287_; + wire _0288_; + wire _0289_; + wire _0290_; + wire _0291_; + wire _0292_; + wire _0293_; + wire _0294_; + wire _0295_; + wire _0296_; + wire _0297_; + wire _0298_; + wire _0299_; + wire _0300_; + wire _0301_; + wire _0302_; + wire _0303_; + wire _0304_; + wire _0305_; + wire _0306_; + wire _0307_; + wire _0308_; + wire _0309_; + wire _0310_; + wire _0311_; + wire _0312_; + wire _0313_; + wire _0314_; + wire _0315_; + wire _0316_; + wire _0317_; + wire _0318_; + wire _0319_; + wire _0320_; + wire _0321_; + wire _0322_; + wire _0323_; + wire _0324_; + wire _0325_; + wire _0326_; + wire _0327_; + wire _0328_; + wire _0329_; + wire _0330_; + wire _0331_; + wire _0332_; + wire _0333_; + wire _0334_; + wire _0335_; + wire _0336_; + wire _0337_; + wire _0338_; + wire _0339_; + wire _0340_; + wire _0341_; + wire _0342_; + wire _0343_; + wire _0344_; + wire _0345_; + wire _0346_; + wire _0347_; + wire _0348_; + wire _0349_; + wire _0350_; + wire _0351_; + wire _0352_; + wire _0353_; + wire _0354_; + wire _0355_; + wire _0356_; + wire _0357_; + wire _0358_; + wire _0359_; + wire _0360_; + wire _0361_; + wire _0362_; + wire _0363_; + wire _0364_; + wire _0365_; + wire _0366_; + wire _0367_; + wire _0368_; + wire _0369_; + wire _0370_; + wire _0371_; + wire _0372_; + wire _0373_; + wire _0374_; + wire _0375_; + wire _0376_; + wire _0377_; + wire _0378_; + wire _0379_; + wire _0380_; + wire _0381_; + wire _0382_; + wire _0383_; + wire _0384_; + wire _0385_; + wire _0386_; + wire _0387_; + wire _0388_; + wire _0389_; + wire _0390_; + wire _0391_; + wire _0392_; + wire _0393_; + wire _0394_; + wire _0395_; + wire _0396_; + wire _0397_; + wire _0398_; + wire _0399_; + wire _0400_; + wire _0401_; + wire _0402_; + wire _0403_; + wire _0404_; + wire _0405_; + wire _0406_; + wire _0407_; + wire _0408_; + wire _0409_; + wire _0410_; + wire _0411_; + wire _0412_; + wire _0413_; + wire _0414_; + wire _0415_; + wire _0416_; + wire _0417_; + wire _0418_; + wire _0419_; + wire _0420_; + wire _0421_; + wire _0422_; + wire _0423_; + wire _0424_; + wire _0425_; + wire _0426_; + wire _0427_; + wire _0428_; + wire _0429_; + wire _0430_; + wire _0431_; + wire _0432_; + wire _0433_; + wire _0434_; + wire _0435_; + wire _0436_; + wire _0437_; + wire _0438_; + wire _0439_; + wire _0440_; + wire _0441_; + wire _0442_; + wire _0443_; + wire _0444_; + wire _0445_; + wire _0446_; + wire _0447_; + wire _0448_; + wire _0449_; + wire _0450_; + wire _0451_; + wire _0452_; + wire _0453_; + wire _0454_; + wire _0455_; + wire _0456_; + wire _0457_; + wire _0458_; + wire _0459_; + wire _0460_; + wire _0461_; + wire _0462_; + wire _0463_; + wire _0464_; + wire _0465_; + wire _0466_; + wire _0467_; + wire _0468_; + wire _0469_; + wire _0470_; + wire _0471_; + wire _0472_; + wire _0473_; + wire _0474_; + wire _0475_; + wire _0476_; + wire _0477_; + wire _0478_; + wire _0479_; + wire _0480_; + wire _0481_; + wire _0482_; + wire _0483_; + wire _0484_; + wire _0485_; + wire _0486_; + wire _0487_; + wire _0488_; + wire _0489_; + wire _0490_; + wire _0491_; + wire _0492_; + wire _0493_; + wire _0494_; + wire _0495_; + wire _0496_; + wire _0497_; + wire _0498_; + wire _0499_; + wire _0500_; + wire _0501_; + wire _0502_; + wire _0503_; + wire _0504_; + wire _0505_; + wire _0506_; + wire _0507_; + wire _0508_; + wire _0509_; + wire _0510_; + wire _0511_; + wire _0512_; + wire _0513_; + wire _0514_; + wire _0515_; + wire _0516_; + wire _0517_; + wire _0518_; + wire _0519_; + wire _0520_; + wire _0521_; + wire _0522_; + wire _0523_; + wire _0524_; + wire _0525_; + wire _0526_; + wire _0527_; + wire _0528_; + wire _0529_; + wire _0530_; + wire _0531_; + wire _0532_; + wire _0533_; + wire _0534_; + wire _0535_; + wire _0536_; + wire _0537_; + wire _0538_; + wire _0539_; + wire _0540_; + wire _0541_; + wire _0542_; + wire _0543_; + wire _0544_; + wire _0545_; + wire _0546_; + wire _0547_; + wire _0548_; + wire _0549_; + wire _0550_; + wire _0551_; + wire _0552_; + wire _0553_; + wire _0554_; + wire _0555_; + wire _0556_; + wire _0557_; + wire _0558_; + wire _0559_; + wire _0560_; + wire _0561_; + wire _0562_; + wire _0563_; + wire _0564_; + wire _0565_; + wire _0566_; + wire _0567_; + wire _0568_; + wire _0569_; + wire _0570_; + wire _0571_; + wire _0572_; + wire _0573_; + wire _0574_; + wire _0575_; + wire _0576_; + wire _0577_; + wire _0578_; + wire _0579_; + wire _0580_; + wire _0581_; + wire _0582_; + wire _0583_; + wire _0584_; + wire _0585_; + wire _0586_; + wire _0587_; + wire _0588_; + wire _0589_; + wire _0590_; + wire _0591_; + wire _0592_; + wire _0593_; + wire _0594_; + wire _0595_; + wire _0596_; + wire _0597_; + wire _0598_; + wire _0599_; + wire _0600_; + wire _0601_; + wire _0602_; + wire _0603_; + wire _0604_; + wire _0605_; + wire _0606_; + wire _0607_; + wire _0608_; + wire _0609_; + wire _0610_; + wire _0611_; + wire _0612_; + wire _0613_; + wire _0614_; + wire _0615_; + wire _0616_; + wire _0617_; + wire _0618_; + wire _0619_; + wire _0620_; + wire _0621_; + wire _0622_; + wire _0623_; + wire _0624_; + wire _0625_; + wire _0626_; + wire _0627_; + wire _0628_; + wire _0629_; + wire _0630_; + wire _0631_; + wire _0632_; + wire _0633_; + wire _0634_; + wire _0635_; + wire _0636_; + wire _0637_; + wire _0638_; + wire _0639_; + wire _0640_; + wire _0641_; + wire _0642_; + wire _0643_; + wire _0644_; + wire _0645_; + wire _0646_; + wire _0647_; + wire _0648_; + wire _0649_; + wire _0650_; + wire _0651_; + wire _0652_; + wire _0653_; + wire _0654_; + wire _0655_; + wire _0656_; + wire _0657_; + wire _0658_; + wire _0659_; + wire _0660_; + wire _0661_; + wire _0662_; + wire _0663_; + wire _0664_; + wire _0665_; + wire _0666_; + wire _0667_; + wire _0668_; + wire _0669_; + wire _0670_; + wire _0671_; + wire _0672_; + wire _0673_; + wire _0674_; + wire _0675_; + wire _0676_; + wire _0677_; + wire _0678_; + wire _0679_; + wire _0680_; + wire _0681_; + wire _0682_; + wire _0683_; + wire _0684_; + wire _0685_; + wire _0686_; + wire _0687_; + wire _0688_; + wire _0689_; + wire _0690_; + wire _0691_; + wire _0692_; + wire _0693_; + wire _0694_; + wire _0695_; + wire _0696_; + wire _0697_; + wire _0698_; + wire _0699_; + wire _0700_; + wire _0701_; + wire _0702_; + wire _0703_; + wire _0704_; + wire _0705_; + wire _0706_; + wire _0707_; + wire _0708_; + wire _0709_; + wire _0710_; + wire _0711_; + wire _0712_; + wire _0713_; + wire _0714_; + wire _0715_; + wire _0716_; + wire _0717_; + wire _0718_; + wire _0719_; + wire _0720_; + wire _0721_; + wire _0722_; + wire _0723_; + wire _0724_; + wire _0725_; + wire _0726_; + wire _0727_; + wire _0728_; + wire _0729_; + wire _0730_; + wire _0731_; + wire _0732_; + wire _0733_; + wire _0734_; + wire _0735_; + wire _0736_; + wire _0737_; + wire _0738_; + wire _0739_; + wire _0740_; + wire _0741_; + wire _0742_; + wire _0743_; + wire _0744_; + wire _0745_; + wire _0746_; + wire _0747_; + wire _0748_; + wire _0749_; + wire _0750_; + wire _0751_; + wire _0752_; + wire _0753_; + wire _0754_; + wire _0755_; + wire _0756_; + wire _0757_; + wire _0758_; + wire _0759_; + wire _0760_; + wire _0761_; + wire _0762_; + wire _0763_; + wire _0764_; + wire _0765_; + wire _0766_; + wire _0767_; + wire _0768_; + wire _0769_; + wire _0770_; + wire _0771_; + wire _0772_; + wire _0773_; + wire _0774_; + wire _0775_; + wire _0776_; + wire _0777_; + wire _0778_; + wire _0779_; + wire _0780_; + wire _0781_; + wire _0782_; + wire _0783_; + wire _0784_; + wire _0785_; + wire _0786_; + wire _0787_; + wire _0788_; + wire _0789_; + wire _0790_; + wire _0791_; + wire _0792_; + wire _0793_; + wire _0794_; + wire _0795_; + wire _0796_; + wire _0797_; + wire _0798_; + wire _0799_; + wire _0800_; + wire _0801_; + wire _0802_; + wire _0803_; + wire _0804_; + wire _0805_; + wire _0806_; + wire _0807_; + wire _0808_; + wire _0809_; + wire _0810_; + wire _0811_; + wire _0812_; + wire _0813_; + wire _0814_; + wire _0815_; + wire _0816_; + wire _0817_; + wire _0818_; + wire _0819_; + wire _0820_; + wire _0821_; + wire _0822_; + wire _0823_; + wire _0824_; + wire _0825_; + wire _0826_; + wire _0827_; + wire _0828_; + wire _0829_; + wire _0830_; + wire _0831_; + wire _0832_; + wire _0833_; + wire _0834_; + wire _0835_; + wire _0836_; + wire _0837_; + wire _0838_; + wire _0839_; + wire _0840_; + wire _0841_; + wire _0842_; + wire _0843_; + wire _0844_; + wire _0845_; + wire _0846_; + wire _0847_; + wire _0848_; + wire _0849_; + wire _0850_; + wire _0851_; + wire _0852_; + wire _0853_; + wire _0854_; + wire _0855_; + wire _0856_; + wire _0857_; + wire _0858_; + wire _0859_; + wire _0860_; + wire _0861_; + wire _0862_; + wire _0863_; + wire _0864_; + wire _0865_; + wire _0866_; + wire _0867_; + wire _0868_; + wire _0869_; + wire _0870_; + wire _0871_; + wire _0872_; + wire _0873_; + wire _0874_; + wire _0875_; + wire _0876_; + wire _0877_; + wire _0878_; + wire _0879_; + wire _0880_; + wire _0881_; + wire _0882_; + wire _0883_; + wire _0884_; + wire _0885_; + wire _0886_; + wire _0887_; + wire _0888_; + wire \color_index[0] ; + wire \color_index[1] ; + wire \color_index[2] ; + wire dir_x; + wire dir_y; + wire \logo_left[0] ; + wire \logo_left[1] ; + wire \logo_left[2] ; + wire \logo_left[3] ; + wire \logo_left[4] ; + wire \logo_left[5] ; + wire \logo_left[6] ; + wire \logo_left[7] ; + wire \logo_left[8] ; + wire \logo_left[9] ; + wire \logo_top[0] ; + wire \logo_top[1] ; + wire \logo_top[2] ; + wire \logo_top[3] ; + wire \logo_top[4] ; + wire \logo_top[5] ; + wire \logo_top[6] ; + wire \logo_top[7] ; + wire \logo_top[8] ; + wire \logo_top[9] ; + wire \prev_y[0] ; + wire \prev_y[1] ; + wire \prev_y[2] ; + wire \prev_y[3] ; + wire \prev_y[4] ; + wire \prev_y[5] ; + wire \prev_y[6] ; + wire \prev_y[7] ; + wire \prev_y[8] ; + wire \prev_y[9] ; + wire \vga_sync_gen.hpos[0] ; + wire \vga_sync_gen.hpos[1] ; + wire \vga_sync_gen.hpos[2] ; + wire \vga_sync_gen.hpos[3] ; + wire \vga_sync_gen.hpos[4] ; + wire \vga_sync_gen.hpos[5] ; + wire \vga_sync_gen.hpos[6] ; + wire \vga_sync_gen.hpos[7] ; + wire \vga_sync_gen.hpos[8] ; + wire \vga_sync_gen.hpos[9] ; + wire \vga_sync_gen.hsync ; + wire \vga_sync_gen.vpos[0] ; + wire \vga_sync_gen.vpos[1] ; + wire \vga_sync_gen.vpos[2] ; + wire \vga_sync_gen.vpos[3] ; + wire \vga_sync_gen.vpos[4] ; + wire \vga_sync_gen.vpos[5] ; + wire \vga_sync_gen.vpos[6] ; + wire \vga_sync_gen.vpos[7] ; + wire \vga_sync_gen.vpos[8] ; + wire \vga_sync_gen.vpos[9] ; + wire \vga_sync_gen.vsync ; + wire clknet_0_clk; + wire net1; + wire net2; + wire net3; + wire net4; + wire net5; + wire net6; + wire net7; + wire net8; + wire net9; + wire net10; + wire net11; + wire net12; + wire net13; + wire net14; + wire net15; + wire net16; + wire net17; + wire net18; + wire net19; + wire net20; + wire net21; + wire net22; + wire net23; + wire net24; + wire net25; + wire net26; + wire net27; + wire net28; + wire net29; + wire net30; + wire net31; + wire net32; + wire net33; + wire net34; + wire net35; + wire net36; + wire net37; + wire net38; + wire net39; + wire net40; + wire net41; + wire net42; + wire net43; + wire net44; + wire net45; + wire net46; + wire net47; + wire net48; + wire net49; + wire net50; + wire net51; + wire net52; + wire net53; + wire net54; + wire net55; + wire net56; + wire net57; + wire net58; + wire net59; + wire net60; + wire net61; + wire net62; + wire net63; + wire net64; + wire net65; + wire net66; + wire net67; + wire net68; + wire net69; + wire net70; + wire net71; + wire net72; + wire net73; + wire net74; + wire net75; + wire net76; + wire net77; + wire net78; + wire net79; + wire net80; + wire net81; + wire net82; + wire net83; + wire net84; + wire net85; + wire net86; + wire net87; + wire net88; + wire net89; + wire net90; + wire net91; + wire net92; + wire net93; + wire net94; + wire net95; + wire net96; + wire net97; + wire net98; + wire net99; + wire net100; + wire net101; + wire net102; + wire net103; + wire net104; + wire net105; + wire net106; + wire net107; + wire net108; + wire net109; + wire net110; + wire net111; + wire net112; + wire net113; + wire net114; + wire net115; + wire net116; + wire net117; + wire net118; + wire net119; + wire net120; + wire net121; + wire net122; + wire net123; + wire net124; + wire net125; + wire net126; + wire net127; + wire net128; + wire net129; + wire net130; + wire net131; + wire net132; + wire net133; + wire net134; + wire clknet_3_0__leaf_clk; + wire clknet_3_1__leaf_clk; + wire clknet_3_2__leaf_clk; + wire clknet_3_3__leaf_clk; + wire clknet_3_4__leaf_clk; + wire clknet_3_5__leaf_clk; + wire clknet_3_6__leaf_clk; + wire clknet_3_7__leaf_clk; + wire net135; + wire net136; + wire net137; + wire net138; + wire net139; + wire net140; + wire net141; + wire net142; + wire net143; + wire net144; + wire net145; + wire net146; + wire net147; + wire net148; + wire net149; + wire net150; + wire net151; + wire net152; + wire net153; + wire net154; + wire net155; + wire net156; + wire net157; + wire net158; + wire net159; + wire net160; + wire net161; + wire net162; + + sky130_fd_sc_hd__inv_2 _0889_ (.A(net112), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0068_)); + sky130_fd_sc_hd__inv_2 _0890_ (.A(net113), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0069_)); + sky130_fd_sc_hd__inv_2 _0891_ (.A(\logo_top[8] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0070_)); + sky130_fd_sc_hd__inv_2 _0892_ (.A(\logo_top[7] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0071_)); + sky130_fd_sc_hd__inv_2 _0893_ (.A(\logo_top[6] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0072_)); + sky130_fd_sc_hd__inv_2 _0894_ (.A(\logo_top[4] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0073_)); + sky130_fd_sc_hd__inv_2 _0895_ (.A(\logo_top[3] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0074_)); + sky130_fd_sc_hd__inv_2 _0896_ (.A(\logo_top[0] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0075_)); + sky130_fd_sc_hd__inv_2 _0897_ (.A(\logo_left[7] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0076_)); + sky130_fd_sc_hd__inv_2 _0898_ (.A(\logo_left[6] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0077_)); + sky130_fd_sc_hd__inv_2 _0899_ (.A(\logo_left[3] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0078_)); + sky130_fd_sc_hd__inv_2 _0900_ (.A(\logo_left[2] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0079_)); + sky130_fd_sc_hd__inv_2 _0901_ (.A(\logo_left[0] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0080_)); + sky130_fd_sc_hd__inv_2 _0902_ (.A(\vga_sync_gen.vpos[1] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0081_)); + sky130_fd_sc_hd__inv_2 _0903_ (.A(\vga_sync_gen.vpos[0] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0082_)); + sky130_fd_sc_hd__inv_2 _0904_ (.A(\vga_sync_gen.vpos[2] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0083_)); + sky130_fd_sc_hd__inv_2 _0905_ (.A(\vga_sync_gen.vpos[3] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0084_)); + sky130_fd_sc_hd__inv_2 _0906_ (.A(net111), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0085_)); + sky130_fd_sc_hd__inv_2 _0907_ (.A(\vga_sync_gen.vpos[6] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0086_)); + sky130_fd_sc_hd__inv_2 _0908_ (.A(\vga_sync_gen.hpos[8] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0087_)); + sky130_fd_sc_hd__inv_2 _0909_ (.A(\vga_sync_gen.vpos[7] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0088_)); + sky130_fd_sc_hd__inv_2 _0910_ (.A(\prev_y[5] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0089_)); + sky130_fd_sc_hd__and3_1 _0911_ (.A(\vga_sync_gen.vpos[5] ), + .B(\vga_sync_gen.vpos[6] ), + .C(\vga_sync_gen.vpos[7] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0090_)); + sky130_fd_sc_hd__and4bb_1 _0912_ (.A_N(net111), + .B_N(\vga_sync_gen.vpos[9] ), + .C(net110), + .D(\vga_sync_gen.vpos[3] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0091_)); + sky130_fd_sc_hd__and4_1 _0913_ (.A(\vga_sync_gen.vpos[1] ), + .B(_0083_), + .C(_0090_), + .D(_0091_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0001_)); + sky130_fd_sc_hd__nand3_1 _0914_ (.A(\vga_sync_gen.hpos[4] ), + .B(\vga_sync_gen.hpos[5] ), + .C(\vga_sync_gen.hpos[6] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0092_)); + sky130_fd_sc_hd__and3_1 _0915_ (.A(_0087_), + .B(\vga_sync_gen.hpos[7] ), + .C(\vga_sync_gen.hpos[9] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0093_)); + sky130_fd_sc_hd__o311a_1 _0916_ (.A1(\vga_sync_gen.hpos[4] ), + .A2(\vga_sync_gen.hpos[5] ), + .A3(net161), + .B1(_0092_), + .C1(_0093_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0000_)); + sky130_fd_sc_hd__and3_1 _0917_ (.A(\vga_sync_gen.hpos[0] ), + .B(\vga_sync_gen.hpos[2] ), + .C(\vga_sync_gen.hpos[1] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0094_)); + sky130_fd_sc_hd__and3_1 _0918_ (.A(\vga_sync_gen.hpos[4] ), + .B(\vga_sync_gen.hpos[3] ), + .C(_0094_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0095_)); + sky130_fd_sc_hd__nor3_1 _0919_ (.A(\vga_sync_gen.hpos[5] ), + .B(\vga_sync_gen.hpos[6] ), + .C(\vga_sync_gen.hpos[7] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0096_)); + sky130_fd_sc_hd__o21a_1 _0920_ (.A1(\vga_sync_gen.hpos[8] ), + .A2(\vga_sync_gen.hpos[7] ), + .B1(\vga_sync_gen.hpos[9] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0097_)); + sky130_fd_sc_hd__and3_1 _0921_ (.A(_0095_), + .B(_0096_), + .C(_0097_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0098_)); + sky130_fd_sc_hd__and2b_1 _0922_ (.A_N(_0098_), + .B(net115), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0099_)); + sky130_fd_sc_hd__and2b_1 _0923_ (.A_N(\vga_sync_gen.hpos[0] ), + .B(net93), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0002_)); + sky130_fd_sc_hd__o21ai_1 _0924_ (.A1(\vga_sync_gen.hpos[0] ), + .A2(\vga_sync_gen.hpos[1] ), + .B1(net93), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0100_)); + sky130_fd_sc_hd__a21oi_1 _0925_ (.A1(net151), + .A2(\vga_sync_gen.hpos[1] ), + .B1(_0100_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0003_)); + sky130_fd_sc_hd__a21o_1 _0926_ (.A1(\vga_sync_gen.hpos[0] ), + .A2(\vga_sync_gen.hpos[1] ), + .B1(\vga_sync_gen.hpos[2] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0101_)); + sky130_fd_sc_hd__and3b_1 _0927_ (.A_N(_0094_), + .B(_0101_), + .C(net115), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0004_)); + sky130_fd_sc_hd__o21ai_1 _0928_ (.A1(\vga_sync_gen.hpos[3] ), + .A2(_0094_), + .B1(net115), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0102_)); + sky130_fd_sc_hd__a21oi_1 _0929_ (.A1(net153), + .A2(_0094_), + .B1(_0102_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0005_)); + sky130_fd_sc_hd__a21o_1 _0930_ (.A1(\vga_sync_gen.hpos[3] ), + .A2(_0094_), + .B1(\vga_sync_gen.hpos[4] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0103_)); + sky130_fd_sc_hd__and3b_1 _0931_ (.A_N(_0095_), + .B(_0103_), + .C(net115), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0006_)); + sky130_fd_sc_hd__and2_1 _0932_ (.A(\vga_sync_gen.hpos[5] ), + .B(_0095_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0104_)); + sky130_fd_sc_hd__o21ai_1 _0933_ (.A1(\vga_sync_gen.hpos[5] ), + .A2(_0095_), + .B1(net93), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0105_)); + sky130_fd_sc_hd__nor2_1 _0934_ (.A(_0104_), + .B(_0105_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0007_)); + sky130_fd_sc_hd__and3_1 _0935_ (.A(\vga_sync_gen.hpos[5] ), + .B(\vga_sync_gen.hpos[6] ), + .C(_0095_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0106_)); + sky130_fd_sc_hd__o21ai_1 _0936_ (.A1(net162), + .A2(_0104_), + .B1(net93), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0107_)); + sky130_fd_sc_hd__nor2_1 _0937_ (.A(_0106_), + .B(_0107_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0008_)); + sky130_fd_sc_hd__nand2_1 _0938_ (.A(\vga_sync_gen.hpos[7] ), + .B(_0106_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0108_)); + sky130_fd_sc_hd__or2_1 _0939_ (.A(\vga_sync_gen.hpos[7] ), + .B(_0106_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0109_)); + sky130_fd_sc_hd__and3_1 _0940_ (.A(net93), + .B(_0108_), + .C(_0109_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0009_)); + sky130_fd_sc_hd__a21o_1 _0941_ (.A1(\vga_sync_gen.hpos[7] ), + .A2(_0106_), + .B1(\vga_sync_gen.hpos[8] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0110_)); + sky130_fd_sc_hd__and3_1 _0942_ (.A(\vga_sync_gen.hpos[8] ), + .B(\vga_sync_gen.hpos[7] ), + .C(_0106_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0111_)); + sky130_fd_sc_hd__and3b_1 _0943_ (.A_N(_0111_), + .B(net93), + .C(_0110_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0010_)); + sky130_fd_sc_hd__o21ai_1 _0944_ (.A1(\vga_sync_gen.hpos[9] ), + .A2(_0111_), + .B1(net93), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0112_)); + sky130_fd_sc_hd__a21oi_1 _0945_ (.A1(net150), + .A2(_0111_), + .B1(_0112_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0011_)); + sky130_fd_sc_hd__xnor2_1 _0946_ (.A(net110), + .B(\prev_y[8] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0113_)); + sky130_fd_sc_hd__o22a_1 _0947_ (.A1(_0083_), + .A2(\prev_y[2] ), + .B1(\prev_y[7] ), + .B2(_0088_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0114_)); + sky130_fd_sc_hd__a22o_1 _0948_ (.A1(_0085_), + .A2(\prev_y[4] ), + .B1(_0089_), + .B2(\vga_sync_gen.vpos[5] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0115_)); + sky130_fd_sc_hd__o22a_1 _0949_ (.A1(_0085_), + .A2(\prev_y[4] ), + .B1(_0089_), + .B2(\vga_sync_gen.vpos[5] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0116_)); + sky130_fd_sc_hd__and2b_1 _0950_ (.A_N(_0115_), + .B(_0116_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0117_)); + sky130_fd_sc_hd__xor2_1 _0951_ (.A(\vga_sync_gen.vpos[9] ), + .B(\prev_y[9] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0118_)); + sky130_fd_sc_hd__a22o_1 _0952_ (.A1(_0083_), + .A2(\prev_y[2] ), + .B1(\prev_y[7] ), + .B2(_0088_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0119_)); + sky130_fd_sc_hd__a221oi_1 _0953_ (.A1(_0084_), + .A2(\prev_y[3] ), + .B1(\prev_y[6] ), + .B2(_0086_), + .C1(_0119_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0120_)); + sky130_fd_sc_hd__o221a_1 _0954_ (.A1(_0081_), + .A2(\prev_y[1] ), + .B1(\prev_y[6] ), + .B2(_0086_), + .C1(_0120_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0121_)); + sky130_fd_sc_hd__o221a_1 _0955_ (.A1(_0082_), + .A2(\prev_y[0] ), + .B1(\prev_y[3] ), + .B2(_0084_), + .C1(_0121_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0122_)); + sky130_fd_sc_hd__a221o_1 _0956_ (.A1(_0082_), + .A2(\prev_y[0] ), + .B1(\prev_y[1] ), + .B2(_0081_), + .C1(_0118_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0123_)); + sky130_fd_sc_hd__and3b_1 _0957_ (.A_N(_0123_), + .B(_0113_), + .C(_0114_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0124_)); + sky130_fd_sc_hd__or4_1 _0958_ (.A(net111), + .B(\vga_sync_gen.vpos[5] ), + .C(\vga_sync_gen.vpos[6] ), + .D(\vga_sync_gen.vpos[7] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0125_)); + sky130_fd_sc_hd__or2_1 _0959_ (.A(\vga_sync_gen.vpos[1] ), + .B(\vga_sync_gen.vpos[0] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0126_)); + sky130_fd_sc_hd__or4_1 _0960_ (.A(\vga_sync_gen.vpos[2] ), + .B(\vga_sync_gen.vpos[3] ), + .C(\vga_sync_gen.vpos[8] ), + .D(\vga_sync_gen.vpos[9] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0127_)); + sky130_fd_sc_hd__or3_1 _0961_ (.A(_0125_), + .B(_0126_), + .C(_0127_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0128_)); + sky130_fd_sc_hd__a31oi_4 _0962_ (.A1(_0117_), + .A2(_0122_), + .A3(_0124_), + .B1(_0128_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0129_)); + sky130_fd_sc_hd__o21ai_1 _0963_ (.A1(\logo_left[0] ), + .A2(net38), + .B1(net114), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0130_)); + sky130_fd_sc_hd__a21oi_1 _0964_ (.A1(net155), + .A2(net38), + .B1(_0130_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0012_)); + sky130_fd_sc_hd__xor2_1 _0965_ (.A(net113), + .B(\logo_left[1] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0131_)); + sky130_fd_sc_hd__nor2_1 _0966_ (.A(_0080_), + .B(_0131_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0132_)); + sky130_fd_sc_hd__nand2_1 _0967_ (.A(_0080_), + .B(_0131_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0133_)); + sky130_fd_sc_hd__and2b_1 _0968_ (.A_N(_0132_), + .B(_0133_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0134_)); + sky130_fd_sc_hd__mux2_1 _0969_ (.A0(\logo_left[1] ), + .A1(_0134_), + .S(net38), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0135_)); + sky130_fd_sc_hd__and2_1 _0970_ (.A(net114), + .B(_0135_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0013_)); + sky130_fd_sc_hd__xnor2_1 _0971_ (.A(net113), + .B(\logo_left[2] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0136_)); + sky130_fd_sc_hd__a21o_1 _0972_ (.A1(_0069_), + .A2(\logo_left[1] ), + .B1(_0132_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0137_)); + sky130_fd_sc_hd__nand2_1 _0973_ (.A(_0136_), + .B(_0137_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0138_)); + sky130_fd_sc_hd__xor2_1 _0974_ (.A(_0136_), + .B(_0137_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0139_)); + sky130_fd_sc_hd__mux2_1 _0975_ (.A0(\logo_left[2] ), + .A1(_0139_), + .S(net38), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0140_)); + sky130_fd_sc_hd__and2_1 _0976_ (.A(net114), + .B(_0140_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0014_)); + sky130_fd_sc_hd__o21ai_1 _0977_ (.A1(_0078_), + .A2(net37), + .B1(net114), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0141_)); + sky130_fd_sc_hd__o21a_1 _0978_ (.A1(net113), + .A2(_0079_), + .B1(_0138_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0142_)); + sky130_fd_sc_hd__nor2_1 _0979_ (.A(net113), + .B(_0078_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0143_)); + sky130_fd_sc_hd__inv_2 _0980_ (.A(_0143_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0144_)); + sky130_fd_sc_hd__nor2_1 _0981_ (.A(_0069_), + .B(\logo_left[3] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0145_)); + sky130_fd_sc_hd__or3_1 _0982_ (.A(_0142_), + .B(_0143_), + .C(_0145_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0146_)); + sky130_fd_sc_hd__o21ai_1 _0983_ (.A1(_0143_), + .A2(_0145_), + .B1(_0142_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0147_)); + sky130_fd_sc_hd__a31o_1 _0984_ (.A1(net37), + .A2(_0146_), + .A3(_0147_), + .B1(_0141_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0015_)); + sky130_fd_sc_hd__or2_1 _0985_ (.A(_0069_), + .B(\logo_left[4] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0148_)); + sky130_fd_sc_hd__nand2_1 _0986_ (.A(_0069_), + .B(\logo_left[4] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0149_)); + sky130_fd_sc_hd__nand2_1 _0987_ (.A(_0148_), + .B(_0149_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0150_)); + sky130_fd_sc_hd__a21o_1 _0988_ (.A1(_0142_), + .A2(_0144_), + .B1(_0145_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0151_)); + sky130_fd_sc_hd__or2_1 _0989_ (.A(_0150_), + .B(_0151_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0152_)); + sky130_fd_sc_hd__nand2_1 _0990_ (.A(_0150_), + .B(_0151_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0153_)); + sky130_fd_sc_hd__a21bo_1 _0991_ (.A1(_0152_), + .A2(_0153_), + .B1_N(net37), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0154_)); + sky130_fd_sc_hd__o211a_1 _0992_ (.A1(\logo_left[4] ), + .A2(net37), + .B1(_0154_), + .C1(net114), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0016_)); + sky130_fd_sc_hd__xnor2_1 _0993_ (.A(net113), + .B(\logo_left[5] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0155_)); + sky130_fd_sc_hd__and3_1 _0994_ (.A(_0149_), + .B(_0152_), + .C(_0155_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0156_)); + sky130_fd_sc_hd__a21o_1 _0995_ (.A1(_0149_), + .A2(_0152_), + .B1(_0155_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0157_)); + sky130_fd_sc_hd__nand2_1 _0996_ (.A(net37), + .B(_0157_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0158_)); + sky130_fd_sc_hd__o221a_1 _0997_ (.A1(\logo_left[5] ), + .A2(net37), + .B1(_0156_), + .B2(_0158_), + .C1(net114), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0017_)); + sky130_fd_sc_hd__nor2_1 _0998_ (.A(_0069_), + .B(\logo_left[6] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0159_)); + sky130_fd_sc_hd__nor2_1 _0999_ (.A(net113), + .B(_0077_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0160_)); + sky130_fd_sc_hd__or2_1 _1000_ (.A(_0159_), + .B(_0160_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0161_)); + sky130_fd_sc_hd__o21a_1 _1001_ (.A1(\logo_left[5] ), + .A2(\logo_left[4] ), + .B1(_0069_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0162_)); + sky130_fd_sc_hd__and2b_1 _1002_ (.A_N(_0152_), + .B(_0155_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0163_)); + sky130_fd_sc_hd__nor2_1 _1003_ (.A(_0162_), + .B(_0163_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0164_)); + sky130_fd_sc_hd__nor2_1 _1004_ (.A(_0161_), + .B(_0164_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0165_)); + sky130_fd_sc_hd__a21bo_1 _1005_ (.A1(_0161_), + .A2(_0164_), + .B1_N(net37), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0166_)); + sky130_fd_sc_hd__o221ai_1 _1006_ (.A1(_0077_), + .A2(net37), + .B1(_0165_), + .B2(_0166_), + .C1(net114), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0018_)); + sky130_fd_sc_hd__o21ai_1 _1007_ (.A1(_0076_), + .A2(net38), + .B1(net114), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0167_)); + sky130_fd_sc_hd__xnor2_1 _1008_ (.A(net113), + .B(\logo_left[7] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0168_)); + sky130_fd_sc_hd__o21ai_1 _1009_ (.A1(_0160_), + .A2(_0165_), + .B1(_0168_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0169_)); + sky130_fd_sc_hd__or3_1 _1010_ (.A(_0160_), + .B(_0165_), + .C(_0168_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0170_)); + sky130_fd_sc_hd__a31o_1 _1011_ (.A1(net38), + .A2(_0169_), + .A3(_0170_), + .B1(_0167_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0019_)); + sky130_fd_sc_hd__and2_1 _1012_ (.A(_0069_), + .B(\logo_left[8] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0171_)); + sky130_fd_sc_hd__nor2_1 _1013_ (.A(_0069_), + .B(\logo_left[8] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0172_)); + sky130_fd_sc_hd__nor2_1 _1014_ (.A(_0171_), + .B(_0172_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0173_)); + sky130_fd_sc_hd__and3b_1 _1015_ (.A_N(_0161_), + .B(_0163_), + .C(_0168_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0174_)); + sky130_fd_sc_hd__a2111o_1 _1016_ (.A1(_0069_), + .A2(\logo_left[7] ), + .B1(_0160_), + .C1(_0162_), + .D1(_0174_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0175_)); + sky130_fd_sc_hd__xnor2_1 _1017_ (.A(_0173_), + .B(_0175_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0176_)); + sky130_fd_sc_hd__nand2_1 _1018_ (.A(net37), + .B(_0176_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0177_)); + sky130_fd_sc_hd__o211a_1 _1019_ (.A1(\logo_left[8] ), + .A2(net37), + .B1(_0177_), + .C1(net114), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0020_)); + sky130_fd_sc_hd__a21oi_1 _1020_ (.A1(_0173_), + .A2(_0175_), + .B1(_0171_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0178_)); + sky130_fd_sc_hd__or2_1 _1021_ (.A(net113), + .B(\logo_left[9] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0179_)); + sky130_fd_sc_hd__nand2_1 _1022_ (.A(dir_x), + .B(\logo_left[9] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0180_)); + sky130_fd_sc_hd__nand2_1 _1023_ (.A(_0179_), + .B(_0180_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0181_)); + sky130_fd_sc_hd__xnor2_1 _1024_ (.A(_0178_), + .B(_0181_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0182_)); + sky130_fd_sc_hd__mux2_1 _1025_ (.A0(\logo_left[9] ), + .A1(_0182_), + .S(net38), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0183_)); + sky130_fd_sc_hd__and2_1 _1026_ (.A(net114), + .B(_0183_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0021_)); + sky130_fd_sc_hd__or2_1 _1027_ (.A(\logo_top[0] ), + .B(net39), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0184_)); + sky130_fd_sc_hd__nand2_1 _1028_ (.A(\logo_top[0] ), + .B(net39), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0185_)); + sky130_fd_sc_hd__and3_1 _1029_ (.A(net118), + .B(_0184_), + .C(_0185_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0022_)); + sky130_fd_sc_hd__xor2_1 _1030_ (.A(net112), + .B(\logo_top[1] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0186_)); + sky130_fd_sc_hd__nor2_1 _1031_ (.A(_0075_), + .B(_0186_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0187_)); + sky130_fd_sc_hd__nand2_1 _1032_ (.A(_0075_), + .B(_0186_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0188_)); + sky130_fd_sc_hd__and2b_1 _1033_ (.A_N(_0187_), + .B(_0188_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0189_)); + sky130_fd_sc_hd__mux2_1 _1034_ (.A0(\logo_top[1] ), + .A1(_0189_), + .S(net40), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0190_)); + sky130_fd_sc_hd__and2_1 _1035_ (.A(net116), + .B(_0190_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0023_)); + sky130_fd_sc_hd__or2_1 _1036_ (.A(_0068_), + .B(\logo_top[2] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0191_)); + sky130_fd_sc_hd__nand2_1 _1037_ (.A(_0068_), + .B(\logo_top[2] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0192_)); + sky130_fd_sc_hd__nand2_1 _1038_ (.A(_0191_), + .B(_0192_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0193_)); + sky130_fd_sc_hd__a21oi_1 _1039_ (.A1(_0068_), + .A2(\logo_top[1] ), + .B1(_0187_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0194_)); + sky130_fd_sc_hd__xnor2_1 _1040_ (.A(_0193_), + .B(_0194_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0195_)); + sky130_fd_sc_hd__nand2_1 _1041_ (.A(net40), + .B(_0195_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0196_)); + sky130_fd_sc_hd__o211a_1 _1042_ (.A1(\logo_top[2] ), + .A2(net40), + .B1(_0196_), + .C1(net116), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0024_)); + sky130_fd_sc_hd__or2_1 _1043_ (.A(\logo_top[2] ), + .B(_0194_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0197_)); + sky130_fd_sc_hd__o2111ai_2 _1044_ (.A1(_0068_), + .A2(_0187_), + .B1(_0192_), + .C1(_0197_), + .D1(net40), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0198_)); + sky130_fd_sc_hd__o21ai_1 _1045_ (.A1(\logo_top[3] ), + .A2(_0198_), + .B1(net116), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0199_)); + sky130_fd_sc_hd__a21o_1 _1046_ (.A1(net160), + .A2(_0198_), + .B1(_0199_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0025_)); + sky130_fd_sc_hd__xnor2_1 _1047_ (.A(net112), + .B(\logo_top[4] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0200_)); + sky130_fd_sc_hd__nor2_1 _1048_ (.A(\logo_top[3] ), + .B(\logo_top[2] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0201_)); + sky130_fd_sc_hd__o22a_1 _1049_ (.A1(_0193_), + .A2(_0194_), + .B1(_0201_), + .B2(dir_y), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0202_)); + sky130_fd_sc_hd__a21oi_1 _1050_ (.A1(net112), + .A2(_0074_), + .B1(_0202_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0203_)); + sky130_fd_sc_hd__nand2_1 _1051_ (.A(_0200_), + .B(_0203_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0204_)); + sky130_fd_sc_hd__or2_1 _1052_ (.A(_0200_), + .B(_0203_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0205_)); + sky130_fd_sc_hd__a21bo_1 _1053_ (.A1(_0204_), + .A2(_0205_), + .B1_N(net40), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0206_)); + sky130_fd_sc_hd__o211a_1 _1054_ (.A1(\logo_top[4] ), + .A2(net40), + .B1(_0206_), + .C1(net116), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0026_)); + sky130_fd_sc_hd__xnor2_1 _1055_ (.A(net112), + .B(\logo_top[5] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0207_)); + sky130_fd_sc_hd__o21a_1 _1056_ (.A1(net112), + .A2(_0073_), + .B1(_0204_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0208_)); + sky130_fd_sc_hd__and2_1 _1057_ (.A(_0207_), + .B(_0208_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0209_)); + sky130_fd_sc_hd__o21ai_1 _1058_ (.A1(_0207_), + .A2(_0208_), + .B1(net40), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0210_)); + sky130_fd_sc_hd__o221a_1 _1059_ (.A1(\logo_top[5] ), + .A2(net40), + .B1(_0209_), + .B2(_0210_), + .C1(net116), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0027_)); + sky130_fd_sc_hd__xnor2_1 _1060_ (.A(net112), + .B(\logo_top[6] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0211_)); + sky130_fd_sc_hd__and3_1 _1061_ (.A(_0200_), + .B(_0203_), + .C(_0207_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0212_)); + sky130_fd_sc_hd__o21a_1 _1062_ (.A1(\logo_top[5] ), + .A2(\logo_top[4] ), + .B1(_0068_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0213_)); + sky130_fd_sc_hd__or2_1 _1063_ (.A(_0212_), + .B(_0213_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0214_)); + sky130_fd_sc_hd__or2_1 _1064_ (.A(_0211_), + .B(_0214_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0215_)); + sky130_fd_sc_hd__nand2_1 _1065_ (.A(_0211_), + .B(_0214_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0216_)); + sky130_fd_sc_hd__o21ai_1 _1066_ (.A1(_0072_), + .A2(net39), + .B1(net118), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0217_)); + sky130_fd_sc_hd__a31o_1 _1067_ (.A1(net39), + .A2(_0215_), + .A3(_0216_), + .B1(_0217_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0028_)); + sky130_fd_sc_hd__o21ai_1 _1068_ (.A1(_0071_), + .A2(net39), + .B1(net118), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0218_)); + sky130_fd_sc_hd__xor2_1 _1069_ (.A(net112), + .B(\logo_top[7] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0219_)); + sky130_fd_sc_hd__inv_2 _1070_ (.A(_0219_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0220_)); + sky130_fd_sc_hd__o21a_1 _1071_ (.A1(net112), + .A2(_0072_), + .B1(_0216_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0221_)); + sky130_fd_sc_hd__xnor2_1 _1072_ (.A(_0220_), + .B(_0221_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0222_)); + sky130_fd_sc_hd__a21o_1 _1073_ (.A1(net39), + .A2(_0222_), + .B1(_0218_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0029_)); + sky130_fd_sc_hd__o41a_1 _1074_ (.A1(\logo_top[7] ), + .A2(\logo_top[6] ), + .A3(\logo_top[5] ), + .A4(\logo_top[4] ), + .B1(_0068_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0223_)); + sky130_fd_sc_hd__a31o_1 _1075_ (.A1(_0211_), + .A2(_0212_), + .A3(_0220_), + .B1(_0223_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0224_)); + sky130_fd_sc_hd__nor2_1 _1076_ (.A(net112), + .B(\logo_top[8] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0225_)); + sky130_fd_sc_hd__nor2_1 _1077_ (.A(_0068_), + .B(_0070_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0226_)); + sky130_fd_sc_hd__or3_1 _1078_ (.A(_0224_), + .B(_0225_), + .C(_0226_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0227_)); + sky130_fd_sc_hd__o21ai_1 _1079_ (.A1(_0225_), + .A2(_0226_), + .B1(_0224_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0228_)); + sky130_fd_sc_hd__a21bo_1 _1080_ (.A1(_0227_), + .A2(_0228_), + .B1_N(net39), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0229_)); + sky130_fd_sc_hd__o211a_1 _1081_ (.A1(net156), + .A2(net39), + .B1(_0229_), + .C1(net118), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0030_)); + sky130_fd_sc_hd__mux2_1 _1082_ (.A0(_0225_), + .A1(_0226_), + .S(_0224_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0230_)); + sky130_fd_sc_hd__a21o_1 _1083_ (.A1(net39), + .A2(_0230_), + .B1(\logo_top[9] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0231_)); + sky130_fd_sc_hd__nand3_1 _1084_ (.A(\logo_top[9] ), + .B(net39), + .C(_0230_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0232_)); + sky130_fd_sc_hd__and3_1 _1085_ (.A(net118), + .B(_0231_), + .C(_0232_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0031_)); + sky130_fd_sc_hd__or3_1 _1086_ (.A(\logo_left[3] ), + .B(\logo_left[2] ), + .C(\logo_left[1] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0233_)); + sky130_fd_sc_hd__or4_1 _1087_ (.A(\logo_left[8] ), + .B(\logo_left[6] ), + .C(\logo_left[5] ), + .D(\logo_left[4] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0234_)); + sky130_fd_sc_hd__o31a_1 _1088_ (.A1(_0179_), + .A2(_0233_), + .A3(_0234_), + .B1(_0076_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0235_)); + sky130_fd_sc_hd__and3_1 _1089_ (.A(\logo_left[3] ), + .B(\logo_left[2] ), + .C(\logo_left[1] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0236_)); + sky130_fd_sc_hd__and4b_1 _1090_ (.A_N(\logo_left[9] ), + .B(\logo_left[8] ), + .C(\logo_left[6] ), + .D(\logo_left[4] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0237_)); + sky130_fd_sc_hd__a41o_1 _1091_ (.A1(dir_x), + .A2(\logo_left[5] ), + .A3(_0236_), + .A4(_0237_), + .B1(_0076_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0238_)); + sky130_fd_sc_hd__or4bb_2 _1092_ (.A(_0080_), + .B(_0235_), + .C_N(_0238_), + .D_N(net38), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0239_)); + sky130_fd_sc_hd__o21ai_1 _1093_ (.A1(dir_x), + .A2(_0239_), + .B1(net115), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0240_)); + sky130_fd_sc_hd__a21o_1 _1094_ (.A1(net113), + .A2(_0239_), + .B1(_0240_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0032_)); + sky130_fd_sc_hd__and3_1 _1095_ (.A(\logo_top[3] ), + .B(\logo_top[2] ), + .C(\logo_top[1] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0241_)); + sky130_fd_sc_hd__nand4_1 _1096_ (.A(\logo_top[6] ), + .B(\logo_top[4] ), + .C(_0226_), + .D(_0241_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0242_)); + sky130_fd_sc_hd__nand2_1 _1097_ (.A(_0201_), + .B(_0225_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0243_)); + sky130_fd_sc_hd__or4_1 _1098_ (.A(\logo_top[6] ), + .B(\logo_top[4] ), + .C(\logo_top[1] ), + .D(_0243_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0244_)); + sky130_fd_sc_hd__a2111o_1 _1099_ (.A1(_0242_), + .A2(_0244_), + .B1(\logo_top[9] ), + .C1(\logo_top[7] ), + .D1(\logo_top[5] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0245_)); + sky130_fd_sc_hd__o21ai_1 _1100_ (.A1(_0185_), + .A2(_0245_), + .B1(_0068_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0246_)); + sky130_fd_sc_hd__o311a_1 _1101_ (.A1(_0068_), + .A2(_0185_), + .A3(_0245_), + .B1(_0246_), + .C1(net118), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0033_)); + sky130_fd_sc_hd__o21ai_1 _1102_ (.A1(_0185_), + .A2(_0245_), + .B1(_0239_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0247_)); + sky130_fd_sc_hd__or2_1 _1103_ (.A(\color_index[0] ), + .B(_0247_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0248_)); + sky130_fd_sc_hd__and2_1 _1104_ (.A(\color_index[0] ), + .B(_0247_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0249_)); + sky130_fd_sc_hd__and3b_1 _1105_ (.A_N(_0249_), + .B(net118), + .C(_0248_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0034_)); + sky130_fd_sc_hd__or2_1 _1106_ (.A(\color_index[1] ), + .B(_0249_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0250_)); + sky130_fd_sc_hd__and3_1 _1107_ (.A(\color_index[1] ), + .B(\color_index[0] ), + .C(_0247_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0251_)); + sky130_fd_sc_hd__and3b_1 _1108_ (.A_N(_0251_), + .B(net115), + .C(_0250_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0035_)); + sky130_fd_sc_hd__a21boi_1 _1109_ (.A1(net135), + .A2(_0251_), + .B1_N(net115), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0252_)); + sky130_fd_sc_hd__o21a_1 _1110_ (.A1(net135), + .A2(_0251_), + .B1(_0252_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0036_)); + sky130_fd_sc_hd__mux2_1 _1111_ (.A0(net144), + .A1(\vga_sync_gen.vpos[0] ), + .S(net117), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0037_)); + sky130_fd_sc_hd__mux2_1 _1112_ (.A0(net146), + .A1(\vga_sync_gen.vpos[1] ), + .S(net117), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0038_)); + sky130_fd_sc_hd__mux2_1 _1113_ (.A0(net147), + .A1(\vga_sync_gen.vpos[2] ), + .S(net116), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0039_)); + sky130_fd_sc_hd__mux2_1 _1114_ (.A0(net141), + .A1(\vga_sync_gen.vpos[3] ), + .S(net117), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0040_)); + sky130_fd_sc_hd__mux2_1 _1115_ (.A0(\prev_y[4] ), + .A1(net136), + .S(net117), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0041_)); + sky130_fd_sc_hd__mux2_1 _1116_ (.A0(net140), + .A1(\vga_sync_gen.vpos[5] ), + .S(net117), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0042_)); + sky130_fd_sc_hd__mux2_1 _1117_ (.A0(net143), + .A1(\vga_sync_gen.vpos[6] ), + .S(net116), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0043_)); + sky130_fd_sc_hd__mux2_1 _1118_ (.A0(net149), + .A1(\vga_sync_gen.vpos[7] ), + .S(net116), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0044_)); + sky130_fd_sc_hd__mux2_1 _1119_ (.A0(net139), + .A1(net110), + .S(net117), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0045_)); + sky130_fd_sc_hd__mux2_1 _1120_ (.A0(net138), + .A1(\vga_sync_gen.vpos[9] ), + .S(net117), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0046_)); + sky130_fd_sc_hd__and2_1 _1121_ (.A(_0073_), + .B(net111), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0253_)); + sky130_fd_sc_hd__nand2_1 _1122_ (.A(_0074_), + .B(\vga_sync_gen.vpos[3] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0254_)); + sky130_fd_sc_hd__nand2_1 _1123_ (.A(\logo_top[3] ), + .B(_0084_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0255_)); + sky130_fd_sc_hd__nand2_1 _1124_ (.A(_0254_), + .B(_0255_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0256_)); + sky130_fd_sc_hd__nor2_1 _1125_ (.A(\logo_top[2] ), + .B(_0083_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0257_)); + sky130_fd_sc_hd__xor2_1 _1126_ (.A(\logo_top[1] ), + .B(\vga_sync_gen.vpos[1] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0258_)); + sky130_fd_sc_hd__and2b_1 _1127_ (.A_N(\vga_sync_gen.vpos[0] ), + .B(\logo_top[0] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0259_)); + sky130_fd_sc_hd__nor2_1 _1128_ (.A(_0258_), + .B(_0259_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0260_)); + sky130_fd_sc_hd__o21bai_4 _1129_ (.A1(\logo_top[1] ), + .A2(_0081_), + .B1_N(_0260_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0261_)); + sky130_fd_sc_hd__and2_1 _1130_ (.A(\logo_top[2] ), + .B(_0083_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0262_)); + sky130_fd_sc_hd__nor2_2 _1131_ (.A(_0257_), + .B(_0262_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0263_)); + sky130_fd_sc_hd__a21oi_2 _1132_ (.A1(_0261_), + .A2(_0263_), + .B1(_0257_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0264_)); + sky130_fd_sc_hd__o21a_1 _1133_ (.A1(_0256_), + .A2(_0264_), + .B1(_0254_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0265_)); + sky130_fd_sc_hd__nor2_1 _1134_ (.A(_0073_), + .B(net111), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0266_)); + sky130_fd_sc_hd__nor2_1 _1135_ (.A(_0253_), + .B(_0266_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0267_)); + sky130_fd_sc_hd__o21bai_4 _1136_ (.A1(_0265_), + .A2(_0266_), + .B1_N(_0253_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0268_)); + sky130_fd_sc_hd__and2b_1 _1137_ (.A_N(\logo_top[5] ), + .B(\vga_sync_gen.vpos[5] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0269_)); + sky130_fd_sc_hd__nand2b_1 _1138_ (.A_N(\vga_sync_gen.vpos[5] ), + .B(\logo_top[5] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0270_)); + sky130_fd_sc_hd__and2b_1 _1139_ (.A_N(_0269_), + .B(_0270_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0271_)); + sky130_fd_sc_hd__xnor2_1 _1140_ (.A(_0268_), + .B(_0271_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0272_)); + sky130_fd_sc_hd__xor2_2 _1141_ (.A(_0268_), + .B(_0271_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0273_)); + sky130_fd_sc_hd__nor2_1 _1142_ (.A(\logo_top[6] ), + .B(_0086_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0274_)); + sky130_fd_sc_hd__nand2_1 _1143_ (.A(\logo_top[6] ), + .B(_0086_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0275_)); + sky130_fd_sc_hd__nand2b_4 _1144_ (.A_N(_0274_), + .B(_0275_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0276_)); + sky130_fd_sc_hd__a21o_2 _1145_ (.A1(_0268_), + .A2(_0270_), + .B1(_0269_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0277_)); + sky130_fd_sc_hd__xnor2_4 _1146_ (.A(_0276_), + .B(_0277_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0278_)); + sky130_fd_sc_hd__xor2_4 _1147_ (.A(_0276_), + .B(_0277_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0279_)); + sky130_fd_sc_hd__xnor2_1 _1148_ (.A(_0265_), + .B(_0267_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0280_)); + sky130_fd_sc_hd__xor2_1 _1149_ (.A(_0265_), + .B(_0267_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0281_)); + sky130_fd_sc_hd__xnor2_1 _1150_ (.A(\logo_left[6] ), + .B(\vga_sync_gen.hpos[6] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0282_)); + sky130_fd_sc_hd__and2b_1 _1151_ (.A_N(\vga_sync_gen.hpos[5] ), + .B(\logo_left[5] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0283_)); + sky130_fd_sc_hd__nand2b_1 _1152_ (.A_N(\vga_sync_gen.hpos[5] ), + .B(\logo_left[5] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0284_)); + sky130_fd_sc_hd__and2b_1 _1153_ (.A_N(\logo_left[5] ), + .B(\vga_sync_gen.hpos[5] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0285_)); + sky130_fd_sc_hd__and2b_1 _1154_ (.A_N(\logo_left[4] ), + .B(\vga_sync_gen.hpos[4] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0286_)); + sky130_fd_sc_hd__and2b_1 _1155_ (.A_N(\vga_sync_gen.hpos[4] ), + .B(\logo_left[4] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0287_)); + sky130_fd_sc_hd__nor2_2 _1156_ (.A(_0286_), + .B(_0287_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0288_)); + sky130_fd_sc_hd__xor2_1 _1157_ (.A(\logo_left[2] ), + .B(\vga_sync_gen.hpos[2] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0289_)); + sky130_fd_sc_hd__and2b_1 _1158_ (.A_N(\logo_left[1] ), + .B(\vga_sync_gen.hpos[1] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0290_)); + sky130_fd_sc_hd__nand2b_4 _1159_ (.A_N(\vga_sync_gen.hpos[0] ), + .B(\logo_left[0] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0291_)); + sky130_fd_sc_hd__xnor2_4 _1160_ (.A(\logo_left[1] ), + .B(\vga_sync_gen.hpos[1] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0292_)); + sky130_fd_sc_hd__a21oi_1 _1161_ (.A1(_0291_), + .A2(_0292_), + .B1(_0290_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0293_)); + sky130_fd_sc_hd__nor2_1 _1162_ (.A(_0289_), + .B(_0293_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0294_)); + sky130_fd_sc_hd__and2b_1 _1163_ (.A_N(\logo_left[3] ), + .B(\vga_sync_gen.hpos[3] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0295_)); + sky130_fd_sc_hd__xnor2_1 _1164_ (.A(\logo_left[3] ), + .B(\vga_sync_gen.hpos[3] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0296_)); + sky130_fd_sc_hd__inv_2 _1165_ (.A(_0296_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0297_)); + sky130_fd_sc_hd__a31oi_1 _1166_ (.A1(_0079_), + .A2(\vga_sync_gen.hpos[2] ), + .A3(_0296_), + .B1(_0295_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0298_)); + sky130_fd_sc_hd__o31ai_2 _1167_ (.A1(_0289_), + .A2(_0293_), + .A3(_0297_), + .B1(_0298_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0299_)); + sky130_fd_sc_hd__a21o_2 _1168_ (.A1(_0288_), + .A2(net109), + .B1(_0286_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0300_)); + sky130_fd_sc_hd__a211o_1 _1169_ (.A1(_0288_), + .A2(net109), + .B1(_0285_), + .C1(_0286_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0301_)); + sky130_fd_sc_hd__and3_1 _1170_ (.A(_0282_), + .B(_0284_), + .C(_0301_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0302_)); + sky130_fd_sc_hd__nand3_1 _1171_ (.A(_0282_), + .B(_0284_), + .C(_0301_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0303_)); + sky130_fd_sc_hd__a21oi_1 _1172_ (.A1(_0284_), + .A2(_0301_), + .B1(_0282_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0304_)); + sky130_fd_sc_hd__a21o_1 _1173_ (.A1(_0284_), + .A2(_0301_), + .B1(_0282_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0305_)); + sky130_fd_sc_hd__nor2_1 _1174_ (.A(net66), + .B(net62), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0306_)); + sky130_fd_sc_hd__nand2_1 _1175_ (.A(net64), + .B(net60), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0307_)); + sky130_fd_sc_hd__xor2_2 _1176_ (.A(_0288_), + .B(net109), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0308_)); + sky130_fd_sc_hd__xnor2_2 _1177_ (.A(_0288_), + .B(net109), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0309_)); + sky130_fd_sc_hd__or3_4 _1178_ (.A(net67), + .B(net62), + .C(net91), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0310_)); + sky130_fd_sc_hd__nand2_1 _1179_ (.A(_0258_), + .B(_0259_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0311_)); + sky130_fd_sc_hd__and2b_1 _1180_ (.A_N(_0260_), + .B(_0311_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0312_)); + sky130_fd_sc_hd__nand2b_1 _1181_ (.A_N(_0260_), + .B(_0311_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0313_)); + sky130_fd_sc_hd__nor2_2 _1182_ (.A(_0283_), + .B(_0285_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0314_)); + sky130_fd_sc_hd__xnor2_4 _1183_ (.A(_0300_), + .B(_0314_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0315_)); + sky130_fd_sc_hd__xor2_1 _1184_ (.A(_0300_), + .B(_0314_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0316_)); + sky130_fd_sc_hd__nor2_2 _1185_ (.A(net103), + .B(net59), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0317_)); + sky130_fd_sc_hd__nand2_2 _1186_ (.A(net88), + .B(net55), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0318_)); + sky130_fd_sc_hd__or4_1 _1187_ (.A(net67), + .B(net63), + .C(net92), + .D(_0315_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0319_)); + sky130_fd_sc_hd__nor2_2 _1188_ (.A(net107), + .B(net21), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0320_)); + sky130_fd_sc_hd__xor2_1 _1189_ (.A(_0261_), + .B(_0263_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0321_)); + sky130_fd_sc_hd__xnor2_2 _1190_ (.A(_0261_), + .B(_0263_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0322_)); + sky130_fd_sc_hd__nor2_2 _1191_ (.A(net89), + .B(net56), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0323_)); + sky130_fd_sc_hd__nand2_2 _1192_ (.A(net90), + .B(net58), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0324_)); + sky130_fd_sc_hd__nor2_4 _1193_ (.A(net88), + .B(net58), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0325_)); + sky130_fd_sc_hd__nand2_4 _1194_ (.A(net91), + .B(net55), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0326_)); + sky130_fd_sc_hd__nor2_2 _1195_ (.A(net92), + .B(net57), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0327_)); + sky130_fd_sc_hd__xnor2_1 _1196_ (.A(net88), + .B(net58), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0328_)); + sky130_fd_sc_hd__xnor2_4 _1197_ (.A(net91), + .B(net59), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0329_)); + sky130_fd_sc_hd__a21oi_4 _1198_ (.A1(net64), + .A2(net60), + .B1(net88), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0330_)); + sky130_fd_sc_hd__a21o_1 _1199_ (.A1(net64), + .A2(net60), + .B1(net88), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0331_)); + sky130_fd_sc_hd__nor2_2 _1200_ (.A(net25), + .B(net20), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0332_)); + sky130_fd_sc_hd__a211o_4 _1201_ (.A1(net65), + .A2(net61), + .B1(net88), + .C1(net56), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0333_)); + sky130_fd_sc_hd__a21oi_2 _1202_ (.A1(net65), + .A2(net61), + .B1(net96), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0334_)); + sky130_fd_sc_hd__a21o_1 _1203_ (.A1(net92), + .A2(net59), + .B1(net103), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0335_)); + sky130_fd_sc_hd__a21oi_1 _1204_ (.A1(net90), + .A2(net58), + .B1(net101), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0336_)); + sky130_fd_sc_hd__o211a_1 _1205_ (.A1(net99), + .A2(_0329_), + .B1(_0335_), + .C1(net23), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0337_)); + sky130_fd_sc_hd__or4_4 _1206_ (.A(net67), + .B(net62), + .C(net90), + .D(net56), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0338_)); + sky130_fd_sc_hd__nor2_1 _1207_ (.A(net24), + .B(_0329_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0339_)); + sky130_fd_sc_hd__nor2_4 _1208_ (.A(net95), + .B(net59), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0340_)); + sky130_fd_sc_hd__and2_2 _1209_ (.A(net107), + .B(_0333_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0341_)); + sky130_fd_sc_hd__or3b_1 _1210_ (.A(net87), + .B(_0339_), + .C_N(_0341_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0342_)); + sky130_fd_sc_hd__nor2_1 _1211_ (.A(net83), + .B(_0327_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0343_)); + sky130_fd_sc_hd__or4_1 _1212_ (.A(net107), + .B(net86), + .C(_0327_), + .D(_0330_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0344_)); + sky130_fd_sc_hd__o31a_1 _1213_ (.A1(_0320_), + .A2(net76), + .A3(_0337_), + .B1(_0344_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0345_)); + sky130_fd_sc_hd__xor2_1 _1214_ (.A(_0256_), + .B(_0264_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0346_)); + sky130_fd_sc_hd__xnor2_1 _1215_ (.A(_0256_), + .B(_0264_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0347_)); + sky130_fd_sc_hd__o31a_2 _1216_ (.A1(net67), + .A2(net62), + .A3(net90), + .B1(net95), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0348_)); + sky130_fd_sc_hd__a21oi_2 _1217_ (.A1(net65), + .A2(net61), + .B1(net91), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0349_)); + sky130_fd_sc_hd__a21o_2 _1218_ (.A1(net65), + .A2(net61), + .B1(net91), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0350_)); + sky130_fd_sc_hd__and3_1 _1219_ (.A(net64), + .B(net60), + .C(net58), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0351_)); + sky130_fd_sc_hd__or3_2 _1220_ (.A(net66), + .B(net62), + .C(net55), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0352_)); + sky130_fd_sc_hd__and3_1 _1221_ (.A(net64), + .B(net60), + .C(net55), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0353_)); + sky130_fd_sc_hd__or3_4 _1222_ (.A(net66), + .B(net62), + .C(net58), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0354_)); + sky130_fd_sc_hd__nand2_2 _1223_ (.A(_0350_), + .B(net17), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0355_)); + sky130_fd_sc_hd__nor2_2 _1224_ (.A(net108), + .B(net83), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0356_)); + sky130_fd_sc_hd__nand2_4 _1225_ (.A(net99), + .B(net75), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0357_)); + sky130_fd_sc_hd__a21oi_2 _1226_ (.A1(net64), + .A2(net60), + .B1(net55), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0358_)); + sky130_fd_sc_hd__a21o_4 _1227_ (.A1(net65), + .A2(net61), + .B1(net56), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0359_)); + sky130_fd_sc_hd__nor2_2 _1228_ (.A(net15), + .B(net14), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0360_)); + sky130_fd_sc_hd__a211o_2 _1229_ (.A1(net65), + .A2(net61), + .B1(net90), + .C1(net56), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0361_)); + sky130_fd_sc_hd__o211a_2 _1230_ (.A1(net66), + .A2(net63), + .B1(net92), + .C1(net57), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0362_)); + sky130_fd_sc_hd__a211o_2 _1231_ (.A1(net65), + .A2(net61), + .B1(net89), + .C1(net58), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0363_)); + sky130_fd_sc_hd__a41o_1 _1232_ (.A1(net22), + .A2(net73), + .A3(_0361_), + .A4(_0363_), + .B1(_0356_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0364_)); + sky130_fd_sc_hd__a21bo_1 _1233_ (.A1(_0348_), + .A2(_0355_), + .B1_N(_0364_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0365_)); + sky130_fd_sc_hd__nor2_2 _1234_ (.A(net99), + .B(_0362_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0366_)); + sky130_fd_sc_hd__a21o_1 _1235_ (.A1(net65), + .A2(net61), + .B1(net59), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0367_)); + sky130_fd_sc_hd__and2_1 _1236_ (.A(net99), + .B(net12), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0368_)); + sky130_fd_sc_hd__and3_1 _1237_ (.A(net97), + .B(net17), + .C(net12), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0369_)); + sky130_fd_sc_hd__nand2_4 _1238_ (.A(net105), + .B(net74), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0370_)); + sky130_fd_sc_hd__nand2_1 _1239_ (.A(net100), + .B(net83), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0371_)); + sky130_fd_sc_hd__a21o_1 _1240_ (.A1(net108), + .A2(_0350_), + .B1(net78), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0372_)); + sky130_fd_sc_hd__o2bb2a_1 _1241_ (.A1_N(_0370_), + .A2_N(_0372_), + .B1(net24), + .B2(_0327_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0373_)); + sky130_fd_sc_hd__or2_2 _1242_ (.A(_0317_), + .B(_0348_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0374_)); + sky130_fd_sc_hd__a31o_1 _1243_ (.A1(net77), + .A2(_0326_), + .A3(_0374_), + .B1(_0373_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0375_)); + sky130_fd_sc_hd__o31a_2 _1244_ (.A1(net67), + .A2(net62), + .A3(net91), + .B1(net104), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0376_)); + sky130_fd_sc_hd__nor2_1 _1245_ (.A(net23), + .B(net89), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0377_)); + sky130_fd_sc_hd__or3_4 _1246_ (.A(net67), + .B(net63), + .C(net89), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0378_)); + sky130_fd_sc_hd__a21o_1 _1247_ (.A1(_0359_), + .A2(_0376_), + .B1(net84), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0379_)); + sky130_fd_sc_hd__or4_4 _1248_ (.A(net67), + .B(net63), + .C(net88), + .D(net56), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0380_)); + sky130_fd_sc_hd__a31o_1 _1249_ (.A1(net100), + .A2(_0361_), + .A3(_0380_), + .B1(_0379_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0381_)); + sky130_fd_sc_hd__nor2_2 _1250_ (.A(net57), + .B(net74), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0382_)); + sky130_fd_sc_hd__and3_1 _1251_ (.A(net64), + .B(net60), + .C(net101), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0383_)); + sky130_fd_sc_hd__or3_1 _1252_ (.A(net66), + .B(net63), + .C(net97), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0384_)); + sky130_fd_sc_hd__o21ai_1 _1253_ (.A1(net100), + .A2(_0378_), + .B1(_0382_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0385_)); + sky130_fd_sc_hd__a21oi_1 _1254_ (.A1(_0342_), + .A2(_0345_), + .B1(net47), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0386_)); + sky130_fd_sc_hd__a31o_1 _1255_ (.A1(net45), + .A2(_0381_), + .A3(_0385_), + .B1(net28), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0387_)); + sky130_fd_sc_hd__o311a_1 _1256_ (.A1(net73), + .A2(_0366_), + .A3(_0369_), + .B1(net50), + .C1(_0365_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0388_)); + sky130_fd_sc_hd__a211o_1 _1257_ (.A1(net45), + .A2(_0375_), + .B1(_0388_), + .C1(net34), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0389_)); + sky130_fd_sc_hd__a211o_2 _1258_ (.A1(net90), + .A2(net55), + .B1(net66), + .C1(net62), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0390_)); + sky130_fd_sc_hd__nand2_1 _1259_ (.A(_0310_), + .B(net17), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0391_)); + sky130_fd_sc_hd__a31o_1 _1260_ (.A1(net92), + .A2(net17), + .A3(net12), + .B1(net86), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0392_)); + sky130_fd_sc_hd__o211a_1 _1261_ (.A1(net75), + .A2(_0337_), + .B1(_0392_), + .C1(net35), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0393_)); + sky130_fd_sc_hd__o211a_1 _1262_ (.A1(net66), + .A2(net63), + .B1(net89), + .C1(net55), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0394_)); + sky130_fd_sc_hd__a211o_2 _1263_ (.A1(net64), + .A2(net60), + .B1(net90), + .C1(net58), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0395_)); + sky130_fd_sc_hd__nor2_1 _1264_ (.A(net25), + .B(net105), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0396_)); + sky130_fd_sc_hd__a21o_1 _1265_ (.A1(net64), + .A2(net60), + .B1(net101), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0397_)); + sky130_fd_sc_hd__nand2_1 _1266_ (.A(_0335_), + .B(_0397_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0398_)); + sky130_fd_sc_hd__or4b_1 _1267_ (.A(net105), + .B(_0394_), + .C(net74), + .D_N(_0380_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0399_)); + sky130_fd_sc_hd__nand2_2 _1268_ (.A(net102), + .B(_0338_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0400_)); + sky130_fd_sc_hd__or2_2 _1269_ (.A(_0340_), + .B(_0376_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0401_)); + sky130_fd_sc_hd__o31a_1 _1270_ (.A1(net74), + .A2(_0362_), + .A3(_0400_), + .B1(_0399_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0402_)); + sky130_fd_sc_hd__a31o_1 _1271_ (.A1(_0329_), + .A2(_0335_), + .A3(_0354_), + .B1(net85), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0403_)); + sky130_fd_sc_hd__nor2_1 _1272_ (.A(net99), + .B(net75), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0404_)); + sky130_fd_sc_hd__nand2_2 _1273_ (.A(net108), + .B(net83), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0405_)); + sky130_fd_sc_hd__a21o_1 _1274_ (.A1(net95), + .A2(net56), + .B1(net68), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0406_)); + sky130_fd_sc_hd__a211o_1 _1275_ (.A1(net22), + .A2(_0341_), + .B1(_0374_), + .C1(net77), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0407_)); + sky130_fd_sc_hd__nand2_1 _1276_ (.A(net88), + .B(net68), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0408_)); + sky130_fd_sc_hd__a21o_1 _1277_ (.A1(net100), + .A2(_0350_), + .B1(net84), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0409_)); + sky130_fd_sc_hd__and2_1 _1278_ (.A(net21), + .B(_0359_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0410_)); + sky130_fd_sc_hd__o22a_2 _1279_ (.A1(net66), + .A2(net63), + .B1(net92), + .B2(net59), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0411_)); + sky130_fd_sc_hd__a22o_2 _1280_ (.A1(net65), + .A2(net61), + .B1(net88), + .B2(net56), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0412_)); + sky130_fd_sc_hd__nand2_2 _1281_ (.A(net92), + .B(net85), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0413_)); + sky130_fd_sc_hd__a21oi_4 _1282_ (.A1(net88), + .A2(net55), + .B1(net68), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0414_)); + sky130_fd_sc_hd__o221a_1 _1283_ (.A1(_0409_), + .A2(_0410_), + .B1(_0412_), + .B2(net77), + .C1(net34), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0415_)); + sky130_fd_sc_hd__xor2_4 _1284_ (.A(_0291_), + .B(_0292_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0416_)); + sky130_fd_sc_hd__xnor2_2 _1285_ (.A(_0291_), + .B(_0292_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0417_)); + sky130_fd_sc_hd__o41a_1 _1286_ (.A1(net67), + .A2(net62), + .A3(net89), + .A4(net59), + .B1(net102), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0418_)); + sky130_fd_sc_hd__nor2_1 _1287_ (.A(net99), + .B(_0394_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0419_)); + sky130_fd_sc_hd__and3_1 _1288_ (.A(_0338_), + .B(net9), + .C(_0418_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0420_)); + sky130_fd_sc_hd__nor2_2 _1289_ (.A(net107), + .B(_0362_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0421_)); + sky130_fd_sc_hd__or3_1 _1290_ (.A(net72), + .B(_0420_), + .C(_0421_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0422_)); + sky130_fd_sc_hd__nor2_1 _1291_ (.A(net97), + .B(_0351_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0423_)); + sky130_fd_sc_hd__and2_1 _1292_ (.A(net101), + .B(_0390_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0424_)); + sky130_fd_sc_hd__or4_1 _1293_ (.A(net81), + .B(_0325_), + .C(_0334_), + .D(_0349_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0425_)); + sky130_fd_sc_hd__or2_1 _1294_ (.A(_0340_), + .B(_0425_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0426_)); + sky130_fd_sc_hd__nand2_1 _1295_ (.A(net25), + .B(_0329_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0427_)); + sky130_fd_sc_hd__nor2_1 _1296_ (.A(net107), + .B(_0411_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0428_)); + sky130_fd_sc_hd__nand2_1 _1297_ (.A(net21), + .B(net20), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0429_)); + sky130_fd_sc_hd__o221ai_1 _1298_ (.A1(net107), + .A2(_0427_), + .B1(_0428_), + .B2(_0429_), + .C1(net86), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0430_)); + sky130_fd_sc_hd__o311a_1 _1299_ (.A1(net86), + .A2(_0419_), + .A3(_0421_), + .B1(_0430_), + .C1(net47), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0431_)); + sky130_fd_sc_hd__nand2_1 _1300_ (.A(net84), + .B(_0378_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0432_)); + sky130_fd_sc_hd__nand2_2 _1301_ (.A(net24), + .B(_0329_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0433_)); + sky130_fd_sc_hd__a21oi_1 _1302_ (.A1(_0310_), + .A2(_0421_), + .B1(_0432_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0434_)); + sky130_fd_sc_hd__nand2_1 _1303_ (.A(_0433_), + .B(_0434_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0435_)); + sky130_fd_sc_hd__nand2_1 _1304_ (.A(_0308_), + .B(net76), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0436_)); + sky130_fd_sc_hd__o41a_1 _1305_ (.A1(net66), + .A2(net62), + .A3(net90), + .A4(net58), + .B1(net95), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0437_)); + sky130_fd_sc_hd__or3_1 _1306_ (.A(net24), + .B(_0323_), + .C(net8), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0438_)); + sky130_fd_sc_hd__a21o_1 _1307_ (.A1(_0333_), + .A2(_0438_), + .B1(net86), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0439_)); + sky130_fd_sc_hd__and3_1 _1308_ (.A(net107), + .B(net21), + .C(_0361_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0440_)); + sky130_fd_sc_hd__nor2_2 _1309_ (.A(net102), + .B(net14), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0441_)); + sky130_fd_sc_hd__a211o_1 _1310_ (.A1(_0310_), + .A2(_0359_), + .B1(_0340_), + .C1(net84), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0442_)); + sky130_fd_sc_hd__o311a_1 _1311_ (.A1(net78), + .A2(_0440_), + .A3(_0441_), + .B1(_0442_), + .C1(net53), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0443_)); + sky130_fd_sc_hd__a311o_1 _1312_ (.A1(net45), + .A2(_0435_), + .A3(_0439_), + .B1(_0443_), + .C1(net33), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0444_)); + sky130_fd_sc_hd__a311o_1 _1313_ (.A1(net53), + .A2(_0422_), + .A3(_0426_), + .B1(_0431_), + .C1(net28), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0445_)); + sky130_fd_sc_hd__nor2_1 _1314_ (.A(_0323_), + .B(_0412_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0446_)); + sky130_fd_sc_hd__o211a_1 _1315_ (.A1(_0323_), + .A2(_0412_), + .B1(net107), + .C1(net21), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0447_)); + sky130_fd_sc_hd__and2_1 _1316_ (.A(_0333_), + .B(_0418_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0448_)); + sky130_fd_sc_hd__or4_1 _1317_ (.A(net77), + .B(_0391_), + .C(_0446_), + .D(_0448_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0449_)); + sky130_fd_sc_hd__a211o_1 _1318_ (.A1(_0326_), + .A2(net12), + .B1(_0421_), + .C1(net84), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0450_)); + sky130_fd_sc_hd__a21o_2 _1319_ (.A1(net20), + .A2(_0411_), + .B1(net15), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0451_)); + sky130_fd_sc_hd__or4_1 _1320_ (.A(net71), + .B(_0336_), + .C(_0340_), + .D(net10), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0452_)); + sky130_fd_sc_hd__o311a_1 _1321_ (.A1(net81), + .A2(_0377_), + .A3(_0451_), + .B1(_0452_), + .C1(net28), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0453_)); + sky130_fd_sc_hd__o211a_1 _1322_ (.A1(_0386_), + .A2(_0387_), + .B1(_0389_), + .C1(net7), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0454_)); + sky130_fd_sc_hd__a31o_1 _1323_ (.A1(net4), + .A2(_0444_), + .A3(_0445_), + .B1(_0279_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0455_)); + sky130_fd_sc_hd__o21ai_1 _1324_ (.A1(_0428_), + .A2(_0447_), + .B1(net78), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0456_)); + sky130_fd_sc_hd__o31a_1 _1325_ (.A1(_0320_), + .A2(net78), + .A3(_0446_), + .B1(net29), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0457_)); + sky130_fd_sc_hd__a22o_1 _1326_ (.A1(_0332_), + .A2(_0356_), + .B1(net41), + .B2(_0325_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0458_)); + sky130_fd_sc_hd__a221o_1 _1327_ (.A1(_0456_), + .A2(_0457_), + .B1(_0458_), + .B2(net36), + .C1(net7), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0459_)); + sky130_fd_sc_hd__a311o_1 _1328_ (.A1(net29), + .A2(_0402_), + .A3(_0403_), + .B1(_0393_), + .C1(_0273_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0460_)); + sky130_fd_sc_hd__a21oi_1 _1329_ (.A1(_0459_), + .A2(_0460_), + .B1(net47), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0461_)); + sky130_fd_sc_hd__a311o_1 _1330_ (.A1(net32), + .A2(_0449_), + .A3(_0450_), + .B1(_0453_), + .C1(net7), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0462_)); + sky130_fd_sc_hd__a211o_1 _1331_ (.A1(net29), + .A2(_0407_), + .B1(_0415_), + .C1(net4), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0463_)); + sky130_fd_sc_hd__a31o_1 _1332_ (.A1(net48), + .A2(_0462_), + .A3(_0463_), + .B1(_0461_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0464_)); + sky130_fd_sc_hd__o221a_1 _1333_ (.A1(_0454_), + .A2(_0455_), + .B1(_0464_), + .B2(_0278_), + .C1(_0417_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0465_)); + sky130_fd_sc_hd__and2_1 _1334_ (.A(_0289_), + .B(_0293_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0466_)); + sky130_fd_sc_hd__or2_2 _1335_ (.A(_0294_), + .B(_0466_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0467_)); + sky130_fd_sc_hd__inv_2 _1336_ (.A(_0467_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0468_)); + sky130_fd_sc_hd__or3_1 _1337_ (.A(net92), + .B(net73), + .C(_0360_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0469_)); + sky130_fd_sc_hd__and3_1 _1338_ (.A(net96), + .B(_0338_), + .C(net13), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0470_)); + sky130_fd_sc_hd__or2_1 _1339_ (.A(net79), + .B(_0361_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0471_)); + sky130_fd_sc_hd__o21a_1 _1340_ (.A1(net55), + .A2(_0408_), + .B1(_0357_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0472_)); + sky130_fd_sc_hd__o311a_1 _1341_ (.A1(net10), + .A2(_0470_), + .A3(_0472_), + .B1(_0469_), + .C1(net50), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0473_)); + sky130_fd_sc_hd__a22o_2 _1342_ (.A1(net64), + .A2(net60), + .B1(net90), + .B2(net55), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0474_)); + sky130_fd_sc_hd__and3_2 _1343_ (.A(net91), + .B(net102), + .C(net59), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0475_)); + sky130_fd_sc_hd__or2_1 _1344_ (.A(net72), + .B(_0475_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0476_)); + sky130_fd_sc_hd__a21o_1 _1345_ (.A1(net13), + .A2(_0390_), + .B1(_0476_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0477_)); + sky130_fd_sc_hd__o211a_1 _1346_ (.A1(net80), + .A2(_0451_), + .B1(_0477_), + .C1(net42), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0478_)); + sky130_fd_sc_hd__a21o_1 _1347_ (.A1(net100), + .A2(_0395_), + .B1(net77), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0479_)); + sky130_fd_sc_hd__a21oi_2 _1348_ (.A1(net103), + .A2(_0315_), + .B1(net82), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0480_)); + sky130_fd_sc_hd__a21oi_1 _1349_ (.A1(_0349_), + .A2(_0480_), + .B1(net49), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0481_)); + sky130_fd_sc_hd__o21a_1 _1350_ (.A1(_0448_), + .A2(_0479_), + .B1(_0481_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0482_)); + sky130_fd_sc_hd__or4_1 _1351_ (.A(net89), + .B(_0360_), + .C(_0382_), + .D(_0418_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0483_)); + sky130_fd_sc_hd__a211o_1 _1352_ (.A1(net49), + .A2(_0483_), + .B1(_0482_), + .C1(net27), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0484_)); + sky130_fd_sc_hd__o311a_1 _1353_ (.A1(net31), + .A2(_0473_), + .A3(_0478_), + .B1(_0484_), + .C1(net5), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0485_)); + sky130_fd_sc_hd__o22a_1 _1354_ (.A1(net20), + .A2(_0334_), + .B1(net9), + .B2(net98), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0486_)); + sky130_fd_sc_hd__o22a_1 _1355_ (.A1(_0369_), + .A2(_0372_), + .B1(_0486_), + .B2(net81), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0487_)); + sky130_fd_sc_hd__nand2_1 _1356_ (.A(net81), + .B(net44), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0488_)); + sky130_fd_sc_hd__o32a_1 _1357_ (.A1(_0341_), + .A2(_0348_), + .A3(_0488_), + .B1(_0487_), + .B2(net44), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0489_)); + sky130_fd_sc_hd__a211o_1 _1358_ (.A1(net106), + .A2(_0451_), + .B1(_0374_), + .C1(net73), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0490_)); + sky130_fd_sc_hd__o311a_1 _1359_ (.A1(_0315_), + .A2(_0370_), + .A3(_0378_), + .B1(_0490_), + .C1(net49), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0491_)); + sky130_fd_sc_hd__a221oi_1 _1360_ (.A1(_0357_), + .A2(_0405_), + .B1(_0436_), + .B2(net14), + .C1(net52), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0492_)); + sky130_fd_sc_hd__a311o_1 _1361_ (.A1(net21), + .A2(net48), + .A3(_0412_), + .B1(_0492_), + .C1(net29), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0493_)); + sky130_fd_sc_hd__o221a_1 _1362_ (.A1(net32), + .A2(_0489_), + .B1(_0491_), + .B2(_0493_), + .C1(net6), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0494_)); + sky130_fd_sc_hd__nor2_1 _1363_ (.A(_0325_), + .B(net15), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0495_)); + sky130_fd_sc_hd__and3_1 _1364_ (.A(net104), + .B(_0326_), + .C(_0354_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0496_)); + sky130_fd_sc_hd__a211o_1 _1365_ (.A1(_0326_), + .A2(_0374_), + .B1(_0496_), + .C1(net81), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0497_)); + sky130_fd_sc_hd__a31o_1 _1366_ (.A1(net98), + .A2(_0326_), + .A3(_0354_), + .B1(net70), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0498_)); + sky130_fd_sc_hd__o211ai_1 _1367_ (.A1(_0332_), + .A2(_0400_), + .B1(_0382_), + .C1(_0378_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0499_)); + sky130_fd_sc_hd__nor2_1 _1368_ (.A(net102), + .B(net18), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0500_)); + sky130_fd_sc_hd__or3_1 _1369_ (.A(net56), + .B(net80), + .C(_0500_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0501_)); + sky130_fd_sc_hd__nor2_1 _1370_ (.A(net96), + .B(net15), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0502_)); + sky130_fd_sc_hd__o31a_1 _1371_ (.A1(net24), + .A2(net77), + .A3(_0323_), + .B1(_0479_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0503_)); + sky130_fd_sc_hd__a21o_1 _1372_ (.A1(_0401_), + .A2(_0433_), + .B1(_0503_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0504_)); + sky130_fd_sc_hd__a31o_1 _1373_ (.A1(net96), + .A2(_0380_), + .A3(net9), + .B1(net72), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0505_)); + sky130_fd_sc_hd__o31a_1 _1374_ (.A1(net79), + .A2(_0325_), + .A3(net14), + .B1(_0357_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0506_)); + sky130_fd_sc_hd__a21o_1 _1375_ (.A1(_0348_), + .A2(_0355_), + .B1(_0506_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0507_)); + sky130_fd_sc_hd__o311a_1 _1376_ (.A1(_0332_), + .A2(net15), + .A3(_0505_), + .B1(_0507_), + .C1(net51), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0508_)); + sky130_fd_sc_hd__o211a_1 _1377_ (.A1(_0355_), + .A2(_0498_), + .B1(_0497_), + .C1(net44), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0509_)); + sky130_fd_sc_hd__or3_1 _1378_ (.A(net32), + .B(_0508_), + .C(_0509_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0510_)); + sky130_fd_sc_hd__o311a_1 _1379_ (.A1(net80), + .A2(_0376_), + .A3(_0421_), + .B1(_0499_), + .C1(_0501_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0511_)); + sky130_fd_sc_hd__o31a_1 _1380_ (.A1(net80), + .A2(_0470_), + .A3(_0502_), + .B1(net50), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0512_)); + sky130_fd_sc_hd__a221o_1 _1381_ (.A1(net44), + .A2(_0511_), + .B1(_0512_), + .B2(_0504_), + .C1(net27), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0513_)); + sky130_fd_sc_hd__o311a_1 _1382_ (.A1(net72), + .A2(_0369_), + .A3(_0420_), + .B1(_0425_), + .C1(net50), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0514_)); + sky130_fd_sc_hd__o21ai_1 _1383_ (.A1(net10), + .A2(_0475_), + .B1(_0414_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0515_)); + sky130_fd_sc_hd__nand2_1 _1384_ (.A(net79), + .B(_0354_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0516_)); + sky130_fd_sc_hd__or3_1 _1385_ (.A(net104), + .B(_0411_), + .C(_0516_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0517_)); + sky130_fd_sc_hd__a21oi_1 _1386_ (.A1(_0318_), + .A2(net10), + .B1(_0475_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0518_)); + sky130_fd_sc_hd__a31o_1 _1387_ (.A1(_0481_), + .A2(_0515_), + .A3(_0517_), + .B1(_0514_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0519_)); + sky130_fd_sc_hd__or2_1 _1388_ (.A(net77), + .B(net8), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0520_)); + sky130_fd_sc_hd__or2_1 _1389_ (.A(_0366_), + .B(_0520_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0521_)); + sky130_fd_sc_hd__o21ai_1 _1390_ (.A1(_0332_), + .A2(_0339_), + .B1(net77), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0522_)); + sky130_fd_sc_hd__and2_1 _1391_ (.A(net99), + .B(_0333_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0523_)); + sky130_fd_sc_hd__o22a_1 _1392_ (.A1(_0333_), + .A2(_0357_), + .B1(_0359_), + .B2(_0370_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0524_)); + sky130_fd_sc_hd__nand2_1 _1393_ (.A(net53), + .B(_0524_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0525_)); + sky130_fd_sc_hd__o311a_1 _1394_ (.A1(net78), + .A2(_0441_), + .A3(_0447_), + .B1(_0524_), + .C1(net53), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0526_)); + sky130_fd_sc_hd__a311o_1 _1395_ (.A1(net48), + .A2(_0521_), + .A3(_0522_), + .B1(_0526_), + .C1(net34), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0527_)); + sky130_fd_sc_hd__o211a_1 _1396_ (.A1(net27), + .A2(_0519_), + .B1(_0527_), + .C1(net5), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0528_)); + sky130_fd_sc_hd__a311o_1 _1397_ (.A1(net6), + .A2(_0510_), + .A3(_0513_), + .B1(_0528_), + .C1(_0279_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0529_)); + sky130_fd_sc_hd__o311a_1 _1398_ (.A1(_0278_), + .A2(_0485_), + .A3(_0494_), + .B1(_0529_), + .C1(_0416_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0530_)); + sky130_fd_sc_hd__or3_1 _1399_ (.A(_0465_), + .B(_0467_), + .C(_0530_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0531_)); + sky130_fd_sc_hd__a21oi_1 _1400_ (.A1(_0079_), + .A2(\vga_sync_gen.hpos[2] ), + .B1(_0294_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0532_)); + sky130_fd_sc_hd__xnor2_1 _1401_ (.A(_0297_), + .B(_0532_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0533_)); + sky130_fd_sc_hd__inv_2 _1402_ (.A(_0533_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0534_)); + sky130_fd_sc_hd__or4_1 _1403_ (.A(_0343_), + .B(net52), + .C(_0382_), + .D(_0384_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0535_)); + sky130_fd_sc_hd__and4bb_1 _1404_ (.A_N(_0343_), + .B_N(_0414_), + .C(net45), + .D(net11), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0536_)); + sky130_fd_sc_hd__or3_1 _1405_ (.A(net19), + .B(net15), + .C(net10), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0537_)); + sky130_fd_sc_hd__nand2_1 _1406_ (.A(net69), + .B(_0537_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0538_)); + sky130_fd_sc_hd__a211o_1 _1407_ (.A1(net25), + .A2(_0325_), + .B1(net14), + .C1(net105), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0539_)); + sky130_fd_sc_hd__a21oi_1 _1408_ (.A1(net105), + .A2(_0474_), + .B1(net74), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0540_)); + sky130_fd_sc_hd__mux2_1 _1409_ (.A0(_0330_), + .A1(_0411_), + .S(net105), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0541_)); + sky130_fd_sc_hd__a221o_1 _1410_ (.A1(_0539_), + .A2(_0540_), + .B1(_0541_), + .B2(net74), + .C1(net45), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0542_)); + sky130_fd_sc_hd__a21oi_1 _1411_ (.A1(net106), + .A2(net18), + .B1(_0437_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0543_)); + sky130_fd_sc_hd__o211a_1 _1412_ (.A1(net105), + .A2(_0411_), + .B1(_0414_), + .C1(net17), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0544_)); + sky130_fd_sc_hd__a211o_1 _1413_ (.A1(net74), + .A2(_0543_), + .B1(_0544_), + .C1(net52), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0545_)); + sky130_fd_sc_hd__a311o_1 _1414_ (.A1(net52), + .A2(_0402_), + .A3(_0538_), + .B1(_0536_), + .C1(net33), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0546_)); + sky130_fd_sc_hd__a21oi_1 _1415_ (.A1(_0542_), + .A2(_0545_), + .B1(net28), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0547_)); + sky130_fd_sc_hd__or3b_1 _1416_ (.A(_0547_), + .B(net4), + .C_N(_0546_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0548_)); + sky130_fd_sc_hd__or4_1 _1417_ (.A(net82), + .B(_0351_), + .C(_0394_), + .D(_0475_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0549_)); + sky130_fd_sc_hd__o22a_1 _1418_ (.A1(net97), + .A2(_0338_), + .B1(net10), + .B2(net59), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0550_)); + sky130_fd_sc_hd__o21a_1 _1419_ (.A1(_0498_), + .A2(_0502_), + .B1(_0549_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0551_)); + sky130_fd_sc_hd__nor2_1 _1420_ (.A(net70), + .B(_0550_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0552_)); + sky130_fd_sc_hd__a31o_1 _1421_ (.A1(net20), + .A2(_0350_), + .A3(_0480_), + .B1(net49), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0553_)); + sky130_fd_sc_hd__o221a_1 _1422_ (.A1(net43), + .A2(_0551_), + .B1(_0552_), + .B2(_0553_), + .C1(net26), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0554_)); + sky130_fd_sc_hd__and3_1 _1423_ (.A(net25), + .B(net79), + .C(_0325_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0555_)); + sky130_fd_sc_hd__a2111o_1 _1424_ (.A1(net58), + .A2(net68), + .B1(net51), + .C1(_0355_), + .D1(_0555_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0556_)); + sky130_fd_sc_hd__or2_1 _1425_ (.A(net42), + .B(_0413_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0557_)); + sky130_fd_sc_hd__o211a_1 _1426_ (.A1(_0315_), + .A2(_0557_), + .B1(_0556_), + .C1(net31), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0558_)); + sky130_fd_sc_hd__o31a_1 _1427_ (.A1(net6), + .A2(_0554_), + .A3(_0558_), + .B1(_0548_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0559_)); + sky130_fd_sc_hd__o21ai_1 _1428_ (.A1(net20), + .A2(net10), + .B1(_0414_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0560_)); + sky130_fd_sc_hd__o21ai_1 _1429_ (.A1(_0420_), + .A2(_0470_), + .B1(net72), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0561_)); + sky130_fd_sc_hd__a211o_1 _1430_ (.A1(_0338_), + .A2(_0418_), + .B1(_0470_), + .C1(net72), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0562_)); + sky130_fd_sc_hd__a221o_1 _1431_ (.A1(net91), + .A2(_0441_), + .B1(_0502_), + .B2(_0350_), + .C1(net80), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0563_)); + sky130_fd_sc_hd__a211o_1 _1432_ (.A1(_0359_), + .A2(_0376_), + .B1(net72), + .C1(_0323_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0564_)); + sky130_fd_sc_hd__nand2_1 _1433_ (.A(net90), + .B(_0397_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0565_)); + sky130_fd_sc_hd__or3b_1 _1434_ (.A(_0349_), + .B(_0406_), + .C_N(_0565_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0566_)); + sky130_fd_sc_hd__a21oi_1 _1435_ (.A1(_0560_), + .A2(_0561_), + .B1(net26), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0567_)); + sky130_fd_sc_hd__a311o_1 _1436_ (.A1(net26), + .A2(_0563_), + .A3(_0564_), + .B1(_0567_), + .C1(net51), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0568_)); + sky130_fd_sc_hd__o221a_1 _1437_ (.A1(net80), + .A2(_0359_), + .B1(net8), + .B2(_0472_), + .C1(net26), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0569_)); + sky130_fd_sc_hd__o311a_1 _1438_ (.A1(net80), + .A2(_0340_), + .A3(_0390_), + .B1(_0562_), + .C1(net31), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0570_)); + sky130_fd_sc_hd__a211o_1 _1439_ (.A1(_0566_), + .A2(_0569_), + .B1(_0570_), + .C1(net42), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0571_)); + sky130_fd_sc_hd__and3_1 _1440_ (.A(net104), + .B(net13), + .C(_0390_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0572_)); + sky130_fd_sc_hd__a221o_1 _1441_ (.A1(_0348_), + .A2(net13), + .B1(_0376_), + .B2(_0326_), + .C1(net72), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0573_)); + sky130_fd_sc_hd__or3b_1 _1442_ (.A(_0317_), + .B(net69), + .C_N(_0380_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0574_)); + sky130_fd_sc_hd__a221o_1 _1443_ (.A1(_0359_), + .A2(_0376_), + .B1(_0441_), + .B2(net91), + .C1(net68), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0575_)); + sky130_fd_sc_hd__a21o_1 _1444_ (.A1(net103), + .A2(net19), + .B1(net23), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0576_)); + sky130_fd_sc_hd__nand2_1 _1445_ (.A(net70), + .B(_0576_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0577_)); + sky130_fd_sc_hd__a21o_1 _1446_ (.A1(net103), + .A2(_0474_), + .B1(_0317_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0578_)); + sky130_fd_sc_hd__o311a_1 _1447_ (.A1(net80), + .A2(_0470_), + .A3(_0572_), + .B1(_0573_), + .C1(net27), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0579_)); + sky130_fd_sc_hd__a21o_1 _1448_ (.A1(_0310_), + .A2(_0578_), + .B1(net69), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0580_)); + sky130_fd_sc_hd__o311a_1 _1449_ (.A1(net79), + .A2(_0398_), + .A3(_0424_), + .B1(_0580_), + .C1(net31), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0581_)); + sky130_fd_sc_hd__a21oi_1 _1450_ (.A1(_0471_), + .A2(_0574_), + .B1(net31), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0582_)); + sky130_fd_sc_hd__a311o_1 _1451_ (.A1(net31), + .A2(_0575_), + .A3(_0577_), + .B1(_0582_), + .C1(net42), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0583_)); + sky130_fd_sc_hd__o311a_1 _1452_ (.A1(net51), + .A2(_0579_), + .A3(_0581_), + .B1(_0583_), + .C1(net6), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0584_)); + sky130_fd_sc_hd__a311o_1 _1453_ (.A1(net5), + .A2(_0568_), + .A3(_0571_), + .B1(_0584_), + .C1(_0279_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0585_)); + sky130_fd_sc_hd__o211a_1 _1454_ (.A1(_0278_), + .A2(_0559_), + .B1(_0585_), + .C1(_0416_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0586_)); + sky130_fd_sc_hd__a21o_1 _1455_ (.A1(_0326_), + .A2(_0376_), + .B1(_0498_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0587_)); + sky130_fd_sc_hd__a21oi_1 _1456_ (.A1(net25), + .A2(_0329_), + .B1(net97), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0588_)); + sky130_fd_sc_hd__a22o_1 _1457_ (.A1(net105), + .A2(net19), + .B1(_0384_), + .B2(net22), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0589_)); + sky130_fd_sc_hd__or2_1 _1458_ (.A(net83), + .B(_0589_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0590_)); + sky130_fd_sc_hd__a21o_1 _1459_ (.A1(_0587_), + .A2(_0590_), + .B1(net33), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0591_)); + sky130_fd_sc_hd__or3_1 _1460_ (.A(_0324_), + .B(net11), + .C(_0396_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0592_)); + sky130_fd_sc_hd__or2_1 _1461_ (.A(_0376_), + .B(_0398_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0593_)); + sky130_fd_sc_hd__a221o_1 _1462_ (.A1(_0414_), + .A2(_0592_), + .B1(_0593_), + .B2(net76), + .C1(net28), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0594_)); + sky130_fd_sc_hd__a22o_1 _1463_ (.A1(_0361_), + .A2(_0380_), + .B1(net11), + .B2(_0318_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0595_)); + sky130_fd_sc_hd__nand2_1 _1464_ (.A(net19), + .B(net11), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0596_)); + sky130_fd_sc_hd__o211a_1 _1465_ (.A1(net92), + .A2(_0317_), + .B1(net83), + .C1(net25), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0597_)); + sky130_fd_sc_hd__a31o_1 _1466_ (.A1(net74), + .A2(_0595_), + .A3(_0596_), + .B1(_0597_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0598_)); + sky130_fd_sc_hd__or2_1 _1467_ (.A(_0340_), + .B(net15), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0599_)); + sky130_fd_sc_hd__nand2_1 _1468_ (.A(net74), + .B(_0474_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0600_)); + sky130_fd_sc_hd__o221a_1 _1469_ (.A1(net14), + .A2(_0413_), + .B1(_0599_), + .B2(_0600_), + .C1(net32), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0601_)); + sky130_fd_sc_hd__a211oi_1 _1470_ (.A1(net28), + .A2(_0598_), + .B1(_0601_), + .C1(net45), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0602_)); + sky130_fd_sc_hd__a31o_1 _1471_ (.A1(net43), + .A2(_0591_), + .A3(_0594_), + .B1(_0602_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0603_)); + sky130_fd_sc_hd__nor2_1 _1472_ (.A(net103), + .B(_0339_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0604_)); + sky130_fd_sc_hd__o21a_1 _1473_ (.A1(net82), + .A2(_0390_), + .B1(net32), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0605_)); + sky130_fd_sc_hd__o31a_1 _1474_ (.A1(net70), + .A2(_0366_), + .A3(_0604_), + .B1(_0605_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0606_)); + sky130_fd_sc_hd__o22a_1 _1475_ (.A1(_0318_), + .A2(_0357_), + .B1(_0376_), + .B2(_0520_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0607_)); + sky130_fd_sc_hd__a211o_1 _1476_ (.A1(net28), + .A2(_0607_), + .B1(_0606_), + .C1(net43), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0608_)); + sky130_fd_sc_hd__nand2_1 _1477_ (.A(net69), + .B(_0397_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0609_)); + sky130_fd_sc_hd__o221a_1 _1478_ (.A1(_0432_), + .A2(_0446_), + .B1(_0572_), + .B2(_0609_), + .C1(net32), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0610_)); + sky130_fd_sc_hd__o21ai_1 _1479_ (.A1(net89), + .A2(_0317_), + .B1(net81), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0611_)); + sky130_fd_sc_hd__o21ai_1 _1480_ (.A1(net89), + .A2(_0340_), + .B1(_0354_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0612_)); + sky130_fd_sc_hd__o221a_1 _1481_ (.A1(_0320_), + .A2(_0611_), + .B1(_0612_), + .B2(net81), + .C1(net29), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0613_)); + sky130_fd_sc_hd__o31a_1 _1482_ (.A1(net52), + .A2(_0610_), + .A3(_0613_), + .B1(net4), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0614_)); + sky130_fd_sc_hd__a221o_1 _1483_ (.A1(net6), + .A2(_0603_), + .B1(_0608_), + .B2(_0614_), + .C1(_0279_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0615_)); + sky130_fd_sc_hd__and3_1 _1484_ (.A(net108), + .B(_0318_), + .C(net18), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0616_)); + sky130_fd_sc_hd__a21oi_2 _1485_ (.A1(net24), + .A2(_0329_), + .B1(net108), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0617_)); + sky130_fd_sc_hd__a211o_1 _1486_ (.A1(net75), + .A2(_0350_), + .B1(_0616_), + .C1(_0617_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0618_)); + sky130_fd_sc_hd__a21o_1 _1487_ (.A1(_0310_), + .A2(net41), + .B1(net46), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0619_)); + sky130_fd_sc_hd__o31a_1 _1488_ (.A1(_0377_), + .A2(_0495_), + .A3(_0619_), + .B1(net35), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0620_)); + sky130_fd_sc_hd__o21a_1 _1489_ (.A1(net53), + .A2(_0618_), + .B1(_0620_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0621_)); + sky130_fd_sc_hd__a21oi_1 _1490_ (.A1(net57), + .A2(_0378_), + .B1(net100), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0622_)); + sky130_fd_sc_hd__a211o_1 _1491_ (.A1(_0310_), + .A2(_0617_), + .B1(_0622_), + .C1(net86), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0623_)); + sky130_fd_sc_hd__or3_1 _1492_ (.A(net75), + .B(_0368_), + .C(_0419_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0624_)); + sky130_fd_sc_hd__a21o_1 _1493_ (.A1(_0623_), + .A2(_0624_), + .B1(net46), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0625_)); + sky130_fd_sc_hd__o21ai_1 _1494_ (.A1(net22), + .A2(net86), + .B1(net47), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0626_)); + sky130_fd_sc_hd__a31o_1 _1495_ (.A1(_0308_), + .A2(_0357_), + .A3(_0360_), + .B1(_0626_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0627_)); + sky130_fd_sc_hd__a31o_1 _1496_ (.A1(net30), + .A2(_0625_), + .A3(_0627_), + .B1(_0621_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0628_)); + sky130_fd_sc_hd__a221o_1 _1497_ (.A1(net108), + .A2(net18), + .B1(net13), + .B2(net8), + .C1(net85), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0629_)); + sky130_fd_sc_hd__o311a_1 _1498_ (.A1(_0341_), + .A2(_0360_), + .A3(_0413_), + .B1(_0629_), + .C1(net46), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0630_)); + sky130_fd_sc_hd__a211o_1 _1499_ (.A1(net108), + .A2(_0412_), + .B1(_0523_), + .C1(net86), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0631_)); + sky130_fd_sc_hd__a221oi_1 _1500_ (.A1(net21), + .A2(_0404_), + .B1(_0414_), + .B2(net17), + .C1(net46), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0632_)); + sky130_fd_sc_hd__a211o_1 _1501_ (.A1(_0631_), + .A2(_0632_), + .B1(net30), + .C1(_0630_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0633_)); + sky130_fd_sc_hd__o211a_1 _1502_ (.A1(net83), + .A2(_0433_), + .B1(_0405_), + .C1(_0378_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0634_)); + sky130_fd_sc_hd__o21a_1 _1503_ (.A1(_0619_), + .A2(_0634_), + .B1(_0535_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0635_)); + sky130_fd_sc_hd__o211a_1 _1504_ (.A1(net35), + .A2(_0635_), + .B1(_0633_), + .C1(net7), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0636_)); + sky130_fd_sc_hd__a211o_1 _1505_ (.A1(net4), + .A2(_0628_), + .B1(_0636_), + .C1(_0278_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0637_)); + sky130_fd_sc_hd__a311o_1 _1506_ (.A1(_0417_), + .A2(_0615_), + .A3(_0637_), + .B1(_0468_), + .C1(_0586_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0638_)); + sky130_fd_sc_hd__a21oi_1 _1507_ (.A1(_0275_), + .A2(_0277_), + .B1(_0274_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0639_)); + sky130_fd_sc_hd__a21oi_1 _1508_ (.A1(_0077_), + .A2(\vga_sync_gen.hpos[6] ), + .B1(net67), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0640_)); + sky130_fd_sc_hd__and2_1 _1509_ (.A(_0076_), + .B(\vga_sync_gen.hpos[7] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0641_)); + sky130_fd_sc_hd__nor2_1 _1510_ (.A(_0076_), + .B(\vga_sync_gen.hpos[7] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0642_)); + sky130_fd_sc_hd__or3_1 _1511_ (.A(_0640_), + .B(_0641_), + .C(_0642_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0643_)); + sky130_fd_sc_hd__xnor2_1 _1512_ (.A(\logo_left[8] ), + .B(\vga_sync_gen.hpos[8] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0644_)); + sky130_fd_sc_hd__nor2_1 _1513_ (.A(\vga_sync_gen.hpos[7] ), + .B(_0644_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0645_)); + sky130_fd_sc_hd__a21o_1 _1514_ (.A1(\logo_left[7] ), + .A2(_0645_), + .B1(_0641_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0646_)); + sky130_fd_sc_hd__a21bo_1 _1515_ (.A1(_0640_), + .A2(_0646_), + .B1_N(_0643_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0647_)); + sky130_fd_sc_hd__xor2_1 _1516_ (.A(\logo_top[9] ), + .B(\vga_sync_gen.vpos[9] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0648_)); + sky130_fd_sc_hd__o21ai_1 _1517_ (.A1(_0070_), + .A2(net110), + .B1(_0648_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0649_)); + sky130_fd_sc_hd__xor2_1 _1518_ (.A(\logo_left[9] ), + .B(\vga_sync_gen.hpos[9] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0650_)); + sky130_fd_sc_hd__and3_1 _1519_ (.A(\logo_left[8] ), + .B(_0087_), + .C(_0650_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0651_)); + sky130_fd_sc_hd__a21oi_1 _1520_ (.A1(\logo_left[8] ), + .A2(_0087_), + .B1(_0650_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0652_)); + sky130_fd_sc_hd__o32a_1 _1521_ (.A1(_0070_), + .A2(net110), + .A3(_0648_), + .B1(_0651_), + .B2(_0652_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0653_)); + sky130_fd_sc_hd__o311a_1 _1522_ (.A1(\logo_top[7] ), + .A2(_0088_), + .A3(_0639_), + .B1(_0649_), + .C1(_0653_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0654_)); + sky130_fd_sc_hd__a21oi_1 _1523_ (.A1(_0070_), + .A2(net110), + .B1(_0648_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0655_)); + sky130_fd_sc_hd__a31o_1 _1524_ (.A1(\logo_top[7] ), + .A2(_0088_), + .A3(_0639_), + .B1(_0655_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0656_)); + sky130_fd_sc_hd__a21oi_1 _1525_ (.A1(\logo_top[7] ), + .A2(_0088_), + .B1(_0639_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0657_)); + sky130_fd_sc_hd__o21ai_1 _1526_ (.A1(\logo_top[7] ), + .A2(_0088_), + .B1(_0655_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0658_)); + sky130_fd_sc_hd__and2b_1 _1527_ (.A_N(_0641_), + .B(_0643_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0659_)); + sky130_fd_sc_hd__o221a_1 _1528_ (.A1(_0657_), + .A2(_0658_), + .B1(_0659_), + .B2(_0644_), + .C1(_0656_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0660_)); + sky130_fd_sc_hd__a31o_1 _1529_ (.A1(_0647_), + .A2(_0654_), + .A3(_0660_), + .B1(net2), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0661_)); + sky130_fd_sc_hd__or3b_1 _1530_ (.A(\vga_sync_gen.vpos[9] ), + .B(_0097_), + .C_N(net118), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0662_)); + sky130_fd_sc_hd__and2_1 _1531_ (.A(net110), + .B(_0090_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0663_)); + sky130_fd_sc_hd__or3b_1 _1532_ (.A(_0662_), + .B(_0663_), + .C_N(_0661_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0664_)); + sky130_fd_sc_hd__o211a_1 _1533_ (.A1(net23), + .A2(net19), + .B1(net18), + .C1(net95), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0665_)); + sky130_fd_sc_hd__or3_1 _1534_ (.A(net79), + .B(_0361_), + .C(_0665_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0666_)); + sky130_fd_sc_hd__a211o_1 _1535_ (.A1(net101), + .A2(_0451_), + .B1(_0441_), + .C1(net71), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0667_)); + sky130_fd_sc_hd__a31o_1 _1536_ (.A1(net101), + .A2(_0378_), + .A3(net9), + .B1(net68), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0668_)); + sky130_fd_sc_hd__a31o_1 _1537_ (.A1(net95), + .A2(_0354_), + .A3(_0378_), + .B1(_0668_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0669_)); + sky130_fd_sc_hd__o21ai_1 _1538_ (.A1(_0351_), + .A2(_0500_), + .B1(net68), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0670_)); + sky130_fd_sc_hd__a31o_1 _1539_ (.A1(net51), + .A2(_0669_), + .A3(_0670_), + .B1(net26), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0671_)); + sky130_fd_sc_hd__a31o_1 _1540_ (.A1(net42), + .A2(_0666_), + .A3(_0667_), + .B1(_0671_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0672_)); + sky130_fd_sc_hd__and3_1 _1541_ (.A(net107), + .B(_0318_), + .C(_0333_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0673_)); + sky130_fd_sc_hd__a211o_1 _1542_ (.A1(net99), + .A2(net18), + .B1(_0673_), + .C1(net76), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0674_)); + sky130_fd_sc_hd__or3_1 _1543_ (.A(net83), + .B(net19), + .C(net15), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0675_)); + sky130_fd_sc_hd__o221a_1 _1544_ (.A1(net85), + .A2(_0433_), + .B1(_0479_), + .B2(_0622_), + .C1(net53), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0676_)); + sky130_fd_sc_hd__a311o_1 _1545_ (.A1(net46), + .A2(_0674_), + .A3(_0675_), + .B1(_0676_), + .C1(net35), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0677_)); + sky130_fd_sc_hd__a21o_1 _1546_ (.A1(net95), + .A2(_0330_), + .B1(_0406_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0678_)); + sky130_fd_sc_hd__o221a_1 _1547_ (.A1(_0379_), + .A2(_0665_), + .B1(_0678_), + .B2(_0423_), + .C1(net49), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0679_)); + sky130_fd_sc_hd__a211o_1 _1548_ (.A1(_0318_), + .A2(_0368_), + .B1(_0616_), + .C1(net75), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0680_)); + sky130_fd_sc_hd__or3_1 _1549_ (.A(net14), + .B(_0408_), + .C(net8), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0681_)); + sky130_fd_sc_hd__a31o_1 _1550_ (.A1(net43), + .A2(_0680_), + .A3(_0681_), + .B1(net32), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0682_)); + sky130_fd_sc_hd__a221o_1 _1551_ (.A1(net23), + .A2(_0340_), + .B1(net12), + .B2(_0565_), + .C1(net69), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0683_)); + sky130_fd_sc_hd__o41a_1 _1552_ (.A1(net66), + .A2(net63), + .A3(_0309_), + .A4(net57), + .B1(net103), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0684_)); + sky130_fd_sc_hd__o31a_1 _1553_ (.A1(net23), + .A2(net82), + .A3(net19), + .B1(_0370_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0685_)); + sky130_fd_sc_hd__a21o_1 _1554_ (.A1(net12), + .A2(_0684_), + .B1(_0685_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0686_)); + sky130_fd_sc_hd__or3_1 _1555_ (.A(_0328_), + .B(net16), + .C(_0356_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0687_)); + sky130_fd_sc_hd__o211a_1 _1556_ (.A1(net82), + .A2(_0539_), + .B1(_0687_), + .C1(net43), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0688_)); + sky130_fd_sc_hd__a31o_1 _1557_ (.A1(net49), + .A2(_0683_), + .A3(_0686_), + .B1(net27), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0689_)); + sky130_fd_sc_hd__o221a_1 _1558_ (.A1(_0679_), + .A2(_0682_), + .B1(_0688_), + .B2(_0689_), + .C1(net6), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0690_)); + sky130_fd_sc_hd__a311o_1 _1559_ (.A1(net4), + .A2(_0672_), + .A3(_0677_), + .B1(_0690_), + .C1(_0279_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0691_)); + sky130_fd_sc_hd__or2_1 _1560_ (.A(net85), + .B(_0337_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0692_)); + sky130_fd_sc_hd__o211a_1 _1561_ (.A1(net85), + .A2(_0335_), + .B1(_0329_), + .C1(net23), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0693_)); + sky130_fd_sc_hd__nor2_1 _1562_ (.A(net46), + .B(_0693_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0694_)); + sky130_fd_sc_hd__o31a_1 _1563_ (.A1(_0327_), + .A2(net16), + .A3(net11), + .B1(net85), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0695_)); + sky130_fd_sc_hd__a21o_1 _1564_ (.A1(_0401_), + .A2(_0433_), + .B1(_0523_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0696_)); + sky130_fd_sc_hd__a211o_1 _1565_ (.A1(net75), + .A2(_0696_), + .B1(_0695_), + .C1(_0694_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0697_)); + sky130_fd_sc_hd__a31o_1 _1566_ (.A1(net24), + .A2(_0329_), + .A3(net41), + .B1(net29), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0698_)); + sky130_fd_sc_hd__o21a_1 _1567_ (.A1(_0371_), + .A2(_0474_), + .B1(net45), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0699_)); + sky130_fd_sc_hd__o2111a_1 _1568_ (.A1(_0405_), + .A2(_0433_), + .B1(_0524_), + .C1(_0699_), + .D1(net33), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0700_)); + sky130_fd_sc_hd__o21a_1 _1569_ (.A1(_0419_), + .A2(_0617_), + .B1(net75), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0701_)); + sky130_fd_sc_hd__o211a_1 _1570_ (.A1(_0414_), + .A2(_0701_), + .B1(net35), + .C1(net54), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0702_)); + sky130_fd_sc_hd__a211o_1 _1571_ (.A1(net29), + .A2(_0697_), + .B1(_0700_), + .C1(_0702_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0703_)); + sky130_fd_sc_hd__a2bb2o_1 _1572_ (.A1_N(_0678_), + .A2_N(_0684_), + .B1(_0351_), + .B2(_0356_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0704_)); + sky130_fd_sc_hd__o221a_1 _1573_ (.A1(net84), + .A2(_0362_), + .B1(_0476_), + .B2(_0320_), + .C1(_0370_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0705_)); + sky130_fd_sc_hd__o221a_1 _1574_ (.A1(_0525_), + .A2(_0704_), + .B1(_0705_), + .B2(net53), + .C1(net29), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0706_)); + sky130_fd_sc_hd__a21oi_1 _1575_ (.A1(_0356_), + .A2(_0362_), + .B1(net53), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0707_)); + sky130_fd_sc_hd__o21ai_1 _1576_ (.A1(_0370_), + .A2(_0410_), + .B1(_0707_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0708_)); + sky130_fd_sc_hd__a31o_1 _1577_ (.A1(net84), + .A2(_0326_), + .A3(_0352_), + .B1(_0708_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0709_)); + sky130_fd_sc_hd__o221a_1 _1578_ (.A1(net21), + .A2(_0370_), + .B1(net9), + .B2(_0357_), + .C1(net52), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0710_)); + sky130_fd_sc_hd__o21ai_1 _1579_ (.A1(_0341_), + .A2(_0520_), + .B1(_0710_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0711_)); + sky130_fd_sc_hd__a311oi_1 _1580_ (.A1(net34), + .A2(_0709_), + .A3(_0711_), + .B1(_0706_), + .C1(net5), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0712_)); + sky130_fd_sc_hd__a211o_1 _1581_ (.A1(net5), + .A2(_0703_), + .B1(_0712_), + .C1(_0278_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0713_)); + sky130_fd_sc_hd__o211a_1 _1582_ (.A1(net23), + .A2(net19), + .B1(net13), + .C1(net103), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0714_)); + sky130_fd_sc_hd__a2111o_1 _1583_ (.A1(net25), + .A2(_0329_), + .B1(_0362_), + .C1(net99), + .D1(net75), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0715_)); + sky130_fd_sc_hd__a211o_1 _1584_ (.A1(net75), + .A2(net14), + .B1(net41), + .C1(_0327_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0716_)); + sky130_fd_sc_hd__a21oi_1 _1585_ (.A1(_0715_), + .A2(_0716_), + .B1(net46), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0717_)); + sky130_fd_sc_hd__mux2_1 _1586_ (.A0(_0363_), + .A1(_0589_), + .S(net85), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0718_)); + sky130_fd_sc_hd__a211o_1 _1587_ (.A1(net46), + .A2(_0718_), + .B1(_0717_), + .C1(net35), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0719_)); + sky130_fd_sc_hd__and2_1 _1588_ (.A(_0361_), + .B(net8), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0720_)); + sky130_fd_sc_hd__o211a_1 _1589_ (.A1(net57), + .A2(_0334_), + .B1(_0565_), + .C1(net70), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0721_)); + sky130_fd_sc_hd__o21ai_1 _1590_ (.A1(_0372_), + .A2(_0720_), + .B1(net47), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0722_)); + sky130_fd_sc_hd__o221ai_1 _1591_ (.A1(_0357_), + .A2(net9), + .B1(_0479_), + .B2(_0673_), + .C1(net53), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0723_)); + sky130_fd_sc_hd__o211ai_1 _1592_ (.A1(_0721_), + .A2(_0722_), + .B1(_0723_), + .C1(net35), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0724_)); + sky130_fd_sc_hd__o221a_1 _1593_ (.A1(net108), + .A2(net21), + .B1(net16), + .B2(net14), + .C1(_0324_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0725_)); + sky130_fd_sc_hd__o221a_1 _1594_ (.A1(_0413_), + .A2(_0599_), + .B1(_0725_), + .B2(net85), + .C1(net46), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0726_)); + sky130_fd_sc_hd__a21o_1 _1595_ (.A1(net106), + .A2(_0474_), + .B1(net83), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0727_)); + sky130_fd_sc_hd__a2111o_1 _1596_ (.A1(net99), + .A2(_0327_), + .B1(net83), + .C1(_0306_), + .D1(_0325_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0728_)); + sky130_fd_sc_hd__nand2_1 _1597_ (.A(_0358_), + .B(net41), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0729_)); + sky130_fd_sc_hd__o31a_1 _1598_ (.A1(_0356_), + .A2(_0395_), + .A3(net41), + .B1(net54), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0730_)); + sky130_fd_sc_hd__a311o_1 _1599_ (.A1(_0699_), + .A2(_0728_), + .A3(_0729_), + .B1(_0730_), + .C1(net30), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0731_)); + sky130_fd_sc_hd__o311a_1 _1600_ (.A1(net35), + .A2(_0694_), + .A3(_0726_), + .B1(_0731_), + .C1(net4), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0732_)); + sky130_fd_sc_hd__a311o_1 _1601_ (.A1(net7), + .A2(_0719_), + .A3(_0724_), + .B1(_0732_), + .C1(_0278_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0733_)); + sky130_fd_sc_hd__a221o_1 _1602_ (.A1(net98), + .A2(_0359_), + .B1(net12), + .B2(net20), + .C1(net81), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0734_)); + sky130_fd_sc_hd__o311a_1 _1603_ (.A1(net69), + .A2(_0339_), + .A3(_0349_), + .B1(_0734_), + .C1(net43), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0735_)); + sky130_fd_sc_hd__o311a_1 _1604_ (.A1(net70), + .A2(_0366_), + .A3(_0398_), + .B1(_0675_), + .C1(net49), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0736_)); + sky130_fd_sc_hd__and3_1 _1605_ (.A(net25), + .B(net97), + .C(net19), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0737_)); + sky130_fd_sc_hd__o221a_1 _1606_ (.A1(_0588_), + .A2(_0678_), + .B1(_0737_), + .B2(_0379_), + .C1(net49), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0738_)); + sky130_fd_sc_hd__a221o_1 _1607_ (.A1(net97), + .A2(net9), + .B1(_0684_), + .B2(net12), + .C1(net69), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0739_)); + sky130_fd_sc_hd__or4_1 _1608_ (.A(net97), + .B(net15), + .C(net14), + .D(_0408_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0740_)); + sky130_fd_sc_hd__a31o_1 _1609_ (.A1(net43), + .A2(_0739_), + .A3(_0740_), + .B1(net5), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0741_)); + sky130_fd_sc_hd__o32a_1 _1610_ (.A1(net6), + .A2(_0735_), + .A3(_0736_), + .B1(_0738_), + .B2(_0741_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0742_)); + sky130_fd_sc_hd__a211o_1 _1611_ (.A1(net101), + .A2(_0412_), + .B1(net15), + .C1(net71), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0743_)); + sky130_fd_sc_hd__or3_1 _1612_ (.A(net10), + .B(net8), + .C(_0472_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0744_)); + sky130_fd_sc_hd__a21o_1 _1613_ (.A1(_0743_), + .A2(_0744_), + .B1(net51), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0745_)); + sky130_fd_sc_hd__o211a_1 _1614_ (.A1(net101), + .A2(net13), + .B1(net17), + .C1(net68), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0746_)); + sky130_fd_sc_hd__o211a_1 _1615_ (.A1(net23), + .A2(net19), + .B1(net79), + .C1(net95), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0747_)); + sky130_fd_sc_hd__a31o_1 _1616_ (.A1(net80), + .A2(net9), + .A3(_0418_), + .B1(net42), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0748_)); + sky130_fd_sc_hd__or3_1 _1617_ (.A(_0746_), + .B(_0747_), + .C(_0748_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0749_)); + sky130_fd_sc_hd__and3_1 _1618_ (.A(net95), + .B(net13), + .C(_0390_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0750_)); + sky130_fd_sc_hd__and3_1 _1619_ (.A(net101), + .B(net18), + .C(net17), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0751_)); + sky130_fd_sc_hd__o21a_1 _1620_ (.A1(_0750_), + .A2(_0751_), + .B1(net42), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0752_)); + sky130_fd_sc_hd__a21o_1 _1621_ (.A1(net101), + .A2(net9), + .B1(net8), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0753_)); + sky130_fd_sc_hd__a31o_1 _1622_ (.A1(net20), + .A2(net51), + .A3(_0753_), + .B1(net79), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0754_)); + sky130_fd_sc_hd__and3_1 _1623_ (.A(_0354_), + .B(_0359_), + .C(_0397_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0755_)); + sky130_fd_sc_hd__o221a_1 _1624_ (.A1(_0488_), + .A2(_0537_), + .B1(_0557_), + .B2(_0755_), + .C1(net6), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0756_)); + sky130_fd_sc_hd__o21a_1 _1625_ (.A1(_0752_), + .A2(_0754_), + .B1(_0756_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0757_)); + sky130_fd_sc_hd__a31o_1 _1626_ (.A1(net5), + .A2(_0745_), + .A3(_0749_), + .B1(net26), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0758_)); + sky130_fd_sc_hd__o22a_1 _1627_ (.A1(net31), + .A2(_0742_), + .B1(_0757_), + .B2(_0758_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0759_)); + sky130_fd_sc_hd__o211a_1 _1628_ (.A1(_0279_), + .A2(_0759_), + .B1(_0733_), + .C1(_0416_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0760_)); + sky130_fd_sc_hd__a311o_1 _1629_ (.A1(_0417_), + .A2(_0691_), + .A3(_0713_), + .B1(_0760_), + .C1(_0467_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0761_)); + sky130_fd_sc_hd__or3_1 _1630_ (.A(net105), + .B(_0351_), + .C(_0362_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0762_)); + sky130_fd_sc_hd__a211o_1 _1631_ (.A1(_0400_), + .A2(_0762_), + .B1(_0436_), + .C1(net57), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0763_)); + sky130_fd_sc_hd__a221o_1 _1632_ (.A1(_0367_), + .A2(_0401_), + .B1(_0421_), + .B2(_0352_), + .C1(net76), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0764_)); + sky130_fd_sc_hd__nand2_1 _1633_ (.A(net18), + .B(net41), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0765_)); + sky130_fd_sc_hd__a21o_1 _1634_ (.A1(net18), + .A2(net41), + .B1(_0367_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0766_)); + sky130_fd_sc_hd__a21o_1 _1635_ (.A1(net52), + .A2(_0766_), + .B1(net33), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0767_)); + sky130_fd_sc_hd__a31o_1 _1636_ (.A1(net45), + .A2(_0763_), + .A3(_0764_), + .B1(_0767_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0768_)); + sky130_fd_sc_hd__a21o_1 _1637_ (.A1(_0324_), + .A2(_0411_), + .B1(net41), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0769_)); + sky130_fd_sc_hd__a21o_1 _1638_ (.A1(_0765_), + .A2(_0769_), + .B1(net54), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0770_)); + sky130_fd_sc_hd__a221o_1 _1639_ (.A1(_0394_), + .A2(net41), + .B1(_0480_), + .B2(_0330_), + .C1(net45), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0771_)); + sky130_fd_sc_hd__a31oi_1 _1640_ (.A1(net33), + .A2(_0770_), + .A3(_0771_), + .B1(net7), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0772_)); + sky130_fd_sc_hd__or3_1 _1641_ (.A(_0336_), + .B(net10), + .C(_0472_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0773_)); + sky130_fd_sc_hd__o311a_1 _1642_ (.A1(net10), + .A2(_0475_), + .A3(_0678_), + .B1(_0773_), + .C1(net51), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0774_)); + sky130_fd_sc_hd__a311o_1 _1643_ (.A1(net105), + .A2(_0333_), + .A3(_0338_), + .B1(net8), + .C1(net74), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0775_)); + sky130_fd_sc_hd__a21o_1 _1644_ (.A1(_0707_), + .A2(_0775_), + .B1(net33), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0776_)); + sky130_fd_sc_hd__a21o_1 _1645_ (.A1(net21), + .A2(_0359_), + .B1(_0405_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0777_)); + sky130_fd_sc_hd__o211a_1 _1646_ (.A1(_0368_), + .A2(_0727_), + .B1(_0777_), + .C1(_0699_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0778_)); + sky130_fd_sc_hd__a31oi_1 _1647_ (.A1(_0370_), + .A2(_0371_), + .A3(_0543_), + .B1(net45), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0779_)); + sky130_fd_sc_hd__o32a_1 _1648_ (.A1(net28), + .A2(_0778_), + .A3(_0779_), + .B1(_0774_), + .B2(_0776_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0780_)); + sky130_fd_sc_hd__a221o_1 _1649_ (.A1(_0768_), + .A2(_0772_), + .B1(_0780_), + .B2(net7), + .C1(_0278_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0781_)); + sky130_fd_sc_hd__o21ai_1 _1650_ (.A1(_0617_), + .A2(_0622_), + .B1(net85), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0782_)); + sky130_fd_sc_hd__a21oi_1 _1651_ (.A1(_0692_), + .A2(_0782_), + .B1(net46), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0783_)); + sky130_fd_sc_hd__and3_1 _1652_ (.A(net103), + .B(net22), + .C(net18), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0784_)); + sky130_fd_sc_hd__o31a_1 _1653_ (.A1(net70), + .A2(_0421_), + .A3(_0784_), + .B1(net43), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0785_)); + sky130_fd_sc_hd__or4_1 _1654_ (.A(net82), + .B(_0341_), + .C(_0391_), + .D(_0446_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0786_)); + sky130_fd_sc_hd__a21o_1 _1655_ (.A1(_0785_), + .A2(_0786_), + .B1(net33), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0787_)); + sky130_fd_sc_hd__a221o_1 _1656_ (.A1(_0326_), + .A2(_0423_), + .B1(_0451_), + .B2(net97), + .C1(net82), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0788_)); + sky130_fd_sc_hd__or2_1 _1657_ (.A(net8), + .B(_0668_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0789_)); + sky130_fd_sc_hd__o221a_1 _1658_ (.A1(_0408_), + .A2(_0599_), + .B1(_0678_), + .B2(_0341_), + .C1(net43), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0790_)); + sky130_fd_sc_hd__a311o_1 _1659_ (.A1(net52), + .A2(_0788_), + .A3(_0789_), + .B1(_0790_), + .C1(net28), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0791_)); + sky130_fd_sc_hd__o211a_1 _1660_ (.A1(_0783_), + .A2(_0787_), + .B1(_0791_), + .C1(net4), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0792_)); + sky130_fd_sc_hd__a211o_1 _1661_ (.A1(_0361_), + .A2(_0424_), + .B1(_0665_), + .C1(net79), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0793_)); + sky130_fd_sc_hd__nor2_1 _1662_ (.A(net95), + .B(_0355_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0794_)); + sky130_fd_sc_hd__o211a_1 _1663_ (.A1(_0678_), + .A2(_0794_), + .B1(_0793_), + .C1(net51), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0795_)); + sky130_fd_sc_hd__o21a_1 _1664_ (.A1(_0411_), + .A2(_0577_), + .B1(_0785_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0796_)); + sky130_fd_sc_hd__a31o_1 _1665_ (.A1(net97), + .A2(net12), + .A3(_0390_), + .B1(net82), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0797_)); + sky130_fd_sc_hd__a21o_1 _1666_ (.A1(net103), + .A2(_0451_), + .B1(_0797_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0798_)); + sky130_fd_sc_hd__o221a_1 _1667_ (.A1(net17), + .A2(_0405_), + .B1(_0451_), + .B2(_0371_), + .C1(net43), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0799_)); + sky130_fd_sc_hd__o32a_1 _1668_ (.A1(net23), + .A2(net69), + .A3(_0326_), + .B1(_0371_), + .B2(net9), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0800_)); + sky130_fd_sc_hd__o211a_1 _1669_ (.A1(_0685_), + .A2(_0714_), + .B1(_0800_), + .C1(net49), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0801_)); + sky130_fd_sc_hd__a211o_1 _1670_ (.A1(_0798_), + .A2(_0799_), + .B1(_0801_), + .C1(net26), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0802_)); + sky130_fd_sc_hd__o311a_1 _1671_ (.A1(net32), + .A2(_0795_), + .A3(_0796_), + .B1(_0802_), + .C1(net6), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0803_)); + sky130_fd_sc_hd__o311a_1 _1672_ (.A1(_0279_), + .A2(_0792_), + .A3(_0803_), + .B1(_0416_), + .C1(_0781_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0804_)); + sky130_fd_sc_hd__o32a_1 _1673_ (.A1(net79), + .A2(_0424_), + .A3(_0665_), + .B1(_0516_), + .B2(_0330_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0805_)); + sky130_fd_sc_hd__o211ai_1 _1674_ (.A1(_0310_), + .A2(_0317_), + .B1(net69), + .C1(net13), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0806_)); + sky130_fd_sc_hd__o31ai_1 _1675_ (.A1(net69), + .A2(_0394_), + .A3(_0737_), + .B1(_0806_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0807_)); + sky130_fd_sc_hd__mux2_1 _1676_ (.A0(_0805_), + .A1(_0807_), + .S(net31), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0808_)); + sky130_fd_sc_hd__or2_1 _1677_ (.A(_0498_), + .B(_0784_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0809_)); + sky130_fd_sc_hd__o311a_1 _1678_ (.A1(net81), + .A2(_0496_), + .A3(_0604_), + .B1(_0809_), + .C1(net26), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0810_)); + sky130_fd_sc_hd__o311a_1 _1679_ (.A1(net25), + .A2(_0336_), + .A3(_0475_), + .B1(_0378_), + .C1(net68), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0811_)); + sky130_fd_sc_hd__a21oi_1 _1680_ (.A1(net96), + .A2(_0333_), + .B1(net71), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0812_)); + sky130_fd_sc_hd__a211o_1 _1681_ (.A1(_0400_), + .A2(_0812_), + .B1(_0811_), + .C1(net26), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0813_)); + sky130_fd_sc_hd__nand2_1 _1682_ (.A(net42), + .B(_0813_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0814_)); + sky130_fd_sc_hd__o221a_1 _1683_ (.A1(net42), + .A2(_0808_), + .B1(_0810_), + .B2(_0814_), + .C1(net6), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0815_)); + sky130_fd_sc_hd__o31a_1 _1684_ (.A1(_0396_), + .A2(_0432_), + .A3(_0495_), + .B1(net28), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0816_)); + sky130_fd_sc_hd__o32a_1 _1685_ (.A1(_0330_), + .A2(net16), + .A3(_0409_), + .B1(_0405_), + .B2(_0333_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0817_)); + sky130_fd_sc_hd__a221o_1 _1686_ (.A1(_0786_), + .A2(_0816_), + .B1(_0817_), + .B2(net33), + .C1(net52), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0818_)); + sky130_fd_sc_hd__and3_1 _1687_ (.A(_0336_), + .B(net17), + .C(_0378_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0819_)); + sky130_fd_sc_hd__o221a_1 _1688_ (.A1(_0668_), + .A2(_0750_), + .B1(_0819_), + .B2(_0506_), + .C1(net31), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0820_)); + sky130_fd_sc_hd__a221oi_1 _1689_ (.A1(net68), + .A2(_0332_), + .B1(_0518_), + .B2(_0812_), + .C1(net31), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0821_)); + sky130_fd_sc_hd__o311a_1 _1690_ (.A1(net42), + .A2(_0820_), + .A3(_0821_), + .B1(_0818_), + .C1(net5), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0822_)); + sky130_fd_sc_hd__or3_1 _1691_ (.A(_0279_), + .B(_0815_), + .C(_0822_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0823_)); + sky130_fd_sc_hd__o211a_1 _1692_ (.A1(net100), + .A2(_0338_), + .B1(_0363_), + .C1(net84), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0824_)); + sky130_fd_sc_hd__a31oi_1 _1693_ (.A1(net77), + .A2(net20), + .A3(net12), + .B1(_0824_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0825_)); + sky130_fd_sc_hd__o31a_1 _1694_ (.A1(_0320_), + .A2(net81), + .A3(_0349_), + .B1(_0476_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0826_)); + sky130_fd_sc_hd__nand2_1 _1695_ (.A(net34), + .B(_0380_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0827_)); + sky130_fd_sc_hd__o221a_1 _1696_ (.A1(net33), + .A2(_0825_), + .B1(_0826_), + .B2(_0827_), + .C1(net4), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0828_)); + sky130_fd_sc_hd__a22o_1 _1697_ (.A1(_0331_), + .A2(_0401_), + .B1(_0520_), + .B2(_0363_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0829_)); + sky130_fd_sc_hd__a21o_1 _1698_ (.A1(net106), + .A2(_0412_), + .B1(_0409_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0830_)); + sky130_fd_sc_hd__o21a_1 _1699_ (.A1(net77), + .A2(_0410_), + .B1(net34), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0831_)); + sky130_fd_sc_hd__a221o_1 _1700_ (.A1(net29), + .A2(_0829_), + .B1(_0830_), + .B2(_0831_), + .C1(net4), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0832_)); + sky130_fd_sc_hd__or3b_1 _1701_ (.A(net52), + .B(_0828_), + .C_N(_0832_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0833_)); + sky130_fd_sc_hd__o211a_1 _1702_ (.A1(net107), + .A2(net13), + .B1(net78), + .C1(_0310_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0834_)); + sky130_fd_sc_hd__o211a_1 _1703_ (.A1(net100), + .A2(_0361_), + .B1(_0427_), + .C1(net86), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0835_)); + sky130_fd_sc_hd__or4_1 _1704_ (.A(net26), + .B(net20), + .C(_0334_), + .D(_0812_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0836_)); + sky130_fd_sc_hd__o31ai_1 _1705_ (.A1(net35), + .A2(_0834_), + .A3(_0835_), + .B1(_0836_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0837_)); + sky130_fd_sc_hd__and3b_1 _1706_ (.A_N(_0372_), + .B(_0354_), + .C(_0333_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0838_)); + sky130_fd_sc_hd__nor3_1 _1707_ (.A(net16), + .B(_0366_), + .C(_0436_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0839_)); + sky130_fd_sc_hd__o311a_1 _1708_ (.A1(net35), + .A2(_0838_), + .A3(_0839_), + .B1(_0698_), + .C1(net7), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0840_)); + sky130_fd_sc_hd__a211o_1 _1709_ (.A1(net5), + .A2(_0837_), + .B1(_0840_), + .C1(net47), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0841_)); + sky130_fd_sc_hd__a31oi_1 _1710_ (.A1(_0279_), + .A2(_0833_), + .A3(_0841_), + .B1(_0416_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0842_)); + sky130_fd_sc_hd__a211o_1 _1711_ (.A1(_0823_), + .A2(_0842_), + .B1(_0468_), + .C1(_0804_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0843_)); + sky130_fd_sc_hd__a31o_1 _1712_ (.A1(_0534_), + .A2(_0761_), + .A3(_0843_), + .B1(_0664_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0844_)); + sky130_fd_sc_hd__a31o_1 _1713_ (.A1(_0531_), + .A2(_0533_), + .A3(_0638_), + .B1(_0844_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0845_)); + sky130_fd_sc_hd__inv_2 _1714_ (.A(_0845_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0047_)); + sky130_fd_sc_hd__or4b_1 _1715_ (.A(_0083_), + .B(_0084_), + .C(\vga_sync_gen.vpos[8] ), + .D_N(\vga_sync_gen.vpos[9] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0846_)); + sky130_fd_sc_hd__o311a_2 _1716_ (.A1(_0125_), + .A2(_0126_), + .A3(_0846_), + .B1(_0098_), + .C1(net116), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0847_)); + sky130_fd_sc_hd__mux2_1 _1717_ (.A0(net93), + .A1(_0847_), + .S(_0082_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0048_)); + sky130_fd_sc_hd__nand2_1 _1718_ (.A(\vga_sync_gen.vpos[1] ), + .B(\vga_sync_gen.vpos[0] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0848_)); + sky130_fd_sc_hd__and4_1 _1719_ (.A(net116), + .B(_0098_), + .C(_0126_), + .D(_0848_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0849_)); + sky130_fd_sc_hd__a21o_1 _1720_ (.A1(net157), + .A2(net93), + .B1(_0849_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0049_)); + sky130_fd_sc_hd__and2_1 _1721_ (.A(_0083_), + .B(_0848_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0850_)); + sky130_fd_sc_hd__nor2_1 _1722_ (.A(_0083_), + .B(_0848_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0851_)); + sky130_fd_sc_hd__nor2_1 _1723_ (.A(_0850_), + .B(_0851_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0852_)); + sky130_fd_sc_hd__a22o_1 _1724_ (.A1(net154), + .A2(net93), + .B1(_0847_), + .B2(_0852_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0050_)); + sky130_fd_sc_hd__nor2_1 _1725_ (.A(\vga_sync_gen.vpos[3] ), + .B(_0851_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0853_)); + sky130_fd_sc_hd__and2_1 _1726_ (.A(\vga_sync_gen.vpos[3] ), + .B(_0851_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0854_)); + sky130_fd_sc_hd__nor2_1 _1727_ (.A(_0853_), + .B(_0854_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0855_)); + sky130_fd_sc_hd__a22o_1 _1728_ (.A1(net158), + .A2(net94), + .B1(_0847_), + .B2(_0855_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0051_)); + sky130_fd_sc_hd__xor2_1 _1729_ (.A(net111), + .B(_0854_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0856_)); + sky130_fd_sc_hd__a22o_1 _1730_ (.A1(net111), + .A2(net94), + .B1(_0847_), + .B2(_0856_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0052_)); + sky130_fd_sc_hd__a21oi_1 _1731_ (.A1(net111), + .A2(_0854_), + .B1(\vga_sync_gen.vpos[5] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0857_)); + sky130_fd_sc_hd__and3_1 _1732_ (.A(net111), + .B(\vga_sync_gen.vpos[5] ), + .C(_0854_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0858_)); + sky130_fd_sc_hd__nor2_1 _1733_ (.A(_0857_), + .B(_0858_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0859_)); + sky130_fd_sc_hd__a22o_1 _1734_ (.A1(\vga_sync_gen.vpos[5] ), + .A2(net94), + .B1(_0847_), + .B2(_0859_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0053_)); + sky130_fd_sc_hd__xnor2_1 _1735_ (.A(_0086_), + .B(_0858_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0860_)); + sky130_fd_sc_hd__a22o_1 _1736_ (.A1(\vga_sync_gen.vpos[6] ), + .A2(net94), + .B1(_0847_), + .B2(_0860_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0054_)); + sky130_fd_sc_hd__a21oi_1 _1737_ (.A1(\vga_sync_gen.vpos[6] ), + .A2(_0858_), + .B1(\vga_sync_gen.vpos[7] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0861_)); + sky130_fd_sc_hd__and3_1 _1738_ (.A(net111), + .B(_0090_), + .C(_0854_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0862_)); + sky130_fd_sc_hd__nor2_1 _1739_ (.A(_0861_), + .B(_0862_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0863_)); + sky130_fd_sc_hd__a22o_1 _1740_ (.A1(\vga_sync_gen.vpos[7] ), + .A2(net94), + .B1(_0847_), + .B2(_0863_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0055_)); + sky130_fd_sc_hd__nand2_1 _1741_ (.A(net110), + .B(_0862_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0864_)); + sky130_fd_sc_hd__a21o_1 _1742_ (.A1(_0847_), + .A2(_0864_), + .B1(net94), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0865_)); + sky130_fd_sc_hd__and2_1 _1743_ (.A(_0847_), + .B(_0862_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0866_)); + sky130_fd_sc_hd__o21a_1 _1744_ (.A1(net110), + .A2(_0866_), + .B1(_0865_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0056_)); + sky130_fd_sc_hd__and3b_1 _1745_ (.A_N(\vga_sync_gen.vpos[9] ), + .B(_0866_), + .C(net110), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0867_)); + sky130_fd_sc_hd__a21o_1 _1746_ (.A1(net159), + .A2(_0865_), + .B1(_0867_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0057_)); + sky130_fd_sc_hd__nor2_1 _1747_ (.A(\logo_left[2] ), + .B(_0467_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0868_)); + sky130_fd_sc_hd__or2_1 _1748_ (.A(\logo_left[2] ), + .B(_0467_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0869_)); + sky130_fd_sc_hd__nand2_1 _1749_ (.A(\logo_left[2] ), + .B(_0467_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0870_)); + sky130_fd_sc_hd__a21oi_1 _1750_ (.A1(_0869_), + .A2(_0870_), + .B1(net72), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0871_)); + sky130_fd_sc_hd__a31o_1 _1751_ (.A1(net72), + .A2(_0869_), + .A3(_0870_), + .B1(net3), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0872_)); + sky130_fd_sc_hd__a2bb2o_1 _1752_ (.A1_N(_0871_), + .A2_N(_0872_), + .B1(\color_index[2] ), + .B2(net3), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0873_)); + sky130_fd_sc_hd__nand2_1 _1753_ (.A(\logo_left[3] ), + .B(net49), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0874_)); + sky130_fd_sc_hd__xnor2_1 _1754_ (.A(\logo_left[3] ), + .B(net50), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0875_)); + sky130_fd_sc_hd__xnor2_1 _1755_ (.A(_0534_), + .B(_0875_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0876_)); + sky130_fd_sc_hd__nor2_1 _1756_ (.A(_0868_), + .B(_0876_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0877_)); + sky130_fd_sc_hd__nand2_1 _1757_ (.A(_0868_), + .B(_0876_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0878_)); + sky130_fd_sc_hd__and2b_1 _1758_ (.A_N(_0877_), + .B(_0878_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0879_)); + sky130_fd_sc_hd__nand2_1 _1759_ (.A(_0871_), + .B(_0879_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0880_)); + sky130_fd_sc_hd__o21ba_1 _1760_ (.A1(_0871_), + .A2(_0879_), + .B1_N(net3), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0881_)); + sky130_fd_sc_hd__a22o_1 _1761_ (.A1(\color_index[1] ), + .A2(net3), + .B1(_0880_), + .B2(_0881_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0882_)); + sky130_fd_sc_hd__nor2_1 _1762_ (.A(_0873_), + .B(_0882_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0883_)); + sky130_fd_sc_hd__a21oi_1 _1763_ (.A1(_0871_), + .A2(_0878_), + .B1(_0877_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0884_)); + sky130_fd_sc_hd__o21ai_1 _1764_ (.A1(_0534_), + .A2(_0875_), + .B1(_0874_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0885_)); + sky130_fd_sc_hd__xor2_1 _1765_ (.A(\vga_sync_gen.hpos[4] ), + .B(_0299_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0886_)); + sky130_fd_sc_hd__xnor2_1 _1766_ (.A(net32), + .B(_0886_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0887_)); + sky130_fd_sc_hd__xnor2_1 _1767_ (.A(_0885_), + .B(_0887_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0888_)); + sky130_fd_sc_hd__xnor2_1 _1768_ (.A(_0884_), + .B(_0888_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0062_)); + sky130_fd_sc_hd__mux2_1 _1769_ (.A0(_0062_), + .A1(\color_index[0] ), + .S(net3), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0063_)); + sky130_fd_sc_hd__and3b_1 _1770_ (.A_N(_0063_), + .B(_0882_), + .C(_0873_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0064_)); + sky130_fd_sc_hd__nor3_1 _1771_ (.A(_0845_), + .B(_0883_), + .C(_0064_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0058_)); + sky130_fd_sc_hd__or2_1 _1772_ (.A(_0883_), + .B(_0063_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0065_)); + sky130_fd_sc_hd__a21oi_1 _1773_ (.A1(_0873_), + .A2(_0882_), + .B1(_0065_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0066_)); + sky130_fd_sc_hd__nor2_1 _1774_ (.A(_0845_), + .B(_0066_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0059_)); + sky130_fd_sc_hd__nand2b_1 _1775_ (.A_N(_0882_), + .B(_0063_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0067_)); + sky130_fd_sc_hd__and3b_1 _1776_ (.A_N(_0845_), + .B(_0873_), + .C(_0067_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0060_)); + sky130_fd_sc_hd__and3b_1 _1777_ (.A_N(_0845_), + .B(_0065_), + .C(_0067_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0061_)); + sky130_fd_sc_hd__dfxtp_1 _1778_ (.CLK(clknet_3_1__leaf_clk), + .D(_0002_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\vga_sync_gen.hpos[0] )); + sky130_fd_sc_hd__dfxtp_2 _1779_ (.CLK(clknet_3_1__leaf_clk), + .D(net152), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\vga_sync_gen.hpos[1] )); + sky130_fd_sc_hd__dfxtp_1 _1780_ (.CLK(clknet_3_1__leaf_clk), + .D(_0004_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\vga_sync_gen.hpos[2] )); + sky130_fd_sc_hd__dfxtp_1 _1781_ (.CLK(clknet_3_0__leaf_clk), + .D(_0005_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\vga_sync_gen.hpos[3] )); + sky130_fd_sc_hd__dfxtp_1 _1782_ (.CLK(clknet_3_1__leaf_clk), + .D(_0006_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\vga_sync_gen.hpos[4] )); + sky130_fd_sc_hd__dfxtp_2 _1783_ (.CLK(clknet_3_2__leaf_clk), + .D(_0007_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\vga_sync_gen.hpos[5] )); + sky130_fd_sc_hd__dfxtp_2 _1784_ (.CLK(clknet_3_2__leaf_clk), + .D(_0008_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\vga_sync_gen.hpos[6] )); + sky130_fd_sc_hd__dfxtp_2 _1785_ (.CLK(clknet_3_3__leaf_clk), + .D(_0009_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\vga_sync_gen.hpos[7] )); + sky130_fd_sc_hd__dfxtp_1 _1786_ (.CLK(clknet_3_3__leaf_clk), + .D(_0010_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\vga_sync_gen.hpos[8] )); + sky130_fd_sc_hd__dfxtp_1 _1787_ (.CLK(clknet_3_2__leaf_clk), + .D(_0011_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\vga_sync_gen.hpos[9] )); + sky130_fd_sc_hd__dfxtp_1 _1788_ (.CLK(clknet_3_0__leaf_clk), + .D(_0012_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\logo_left[0] )); + sky130_fd_sc_hd__dfxtp_2 _1789_ (.CLK(clknet_3_0__leaf_clk), + .D(_0013_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\logo_left[1] )); + sky130_fd_sc_hd__dfxtp_2 _1790_ (.CLK(clknet_3_0__leaf_clk), + .D(_0014_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\logo_left[2] )); + sky130_fd_sc_hd__dfxtp_2 _1791_ (.CLK(clknet_3_0__leaf_clk), + .D(_0015_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\logo_left[3] )); + sky130_fd_sc_hd__dfxtp_1 _1792_ (.CLK(clknet_3_0__leaf_clk), + .D(_0016_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\logo_left[4] )); + sky130_fd_sc_hd__dfxtp_2 _1793_ (.CLK(clknet_3_0__leaf_clk), + .D(_0017_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\logo_left[5] )); + sky130_fd_sc_hd__dfxtp_1 _1794_ (.CLK(clknet_3_0__leaf_clk), + .D(_0018_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\logo_left[6] )); + sky130_fd_sc_hd__dfxtp_1 _1795_ (.CLK(clknet_3_2__leaf_clk), + .D(_0019_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\logo_left[7] )); + sky130_fd_sc_hd__dfxtp_2 _1796_ (.CLK(clknet_3_2__leaf_clk), + .D(_0020_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\logo_left[8] )); + sky130_fd_sc_hd__dfxtp_1 _1797_ (.CLK(clknet_3_2__leaf_clk), + .D(_0021_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\logo_left[9] )); + sky130_fd_sc_hd__dfxtp_1 _1798_ (.CLK(clknet_3_4__leaf_clk), + .D(_0022_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\logo_top[0] )); + sky130_fd_sc_hd__dfxtp_2 _1799_ (.CLK(clknet_3_5__leaf_clk), + .D(_0023_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\logo_top[1] )); + sky130_fd_sc_hd__dfxtp_1 _1800_ (.CLK(clknet_3_5__leaf_clk), + .D(_0024_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\logo_top[2] )); + sky130_fd_sc_hd__dfxtp_1 _1801_ (.CLK(clknet_3_5__leaf_clk), + .D(_0025_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\logo_top[3] )); + sky130_fd_sc_hd__dfxtp_2 _1802_ (.CLK(clknet_3_5__leaf_clk), + .D(_0026_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\logo_top[4] )); + sky130_fd_sc_hd__dfxtp_2 _1803_ (.CLK(clknet_3_5__leaf_clk), + .D(_0027_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\logo_top[5] )); + sky130_fd_sc_hd__dfxtp_2 _1804_ (.CLK(clknet_3_4__leaf_clk), + .D(_0028_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\logo_top[6] )); + sky130_fd_sc_hd__dfxtp_2 _1805_ (.CLK(clknet_3_1__leaf_clk), + .D(_0029_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\logo_top[7] )); + sky130_fd_sc_hd__dfxtp_1 _1806_ (.CLK(clknet_3_4__leaf_clk), + .D(_0030_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\logo_top[8] )); + sky130_fd_sc_hd__dfxtp_1 _1807_ (.CLK(clknet_3_4__leaf_clk), + .D(_0031_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\logo_top[9] )); + sky130_fd_sc_hd__dfxtp_1 _1808_ (.CLK(clknet_3_0__leaf_clk), + .D(_0032_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(dir_x)); + sky130_fd_sc_hd__dfxtp_1 _1809_ (.CLK(clknet_3_4__leaf_clk), + .D(_0033_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(dir_y)); + sky130_fd_sc_hd__dfxtp_1 _1810_ (.CLK(clknet_3_4__leaf_clk), + .D(_0034_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\color_index[0] )); + sky130_fd_sc_hd__dfxtp_1 _1811_ (.CLK(clknet_3_3__leaf_clk), + .D(_0035_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\color_index[1] )); + sky130_fd_sc_hd__dfxtp_1 _1812_ (.CLK(clknet_3_1__leaf_clk), + .D(_0036_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\color_index[2] )); + sky130_fd_sc_hd__dfxtp_1 _1813_ (.CLK(clknet_3_5__leaf_clk), + .D(net145), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\prev_y[0] )); + sky130_fd_sc_hd__dfxtp_1 _1814_ (.CLK(clknet_3_7__leaf_clk), + .D(_0038_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\prev_y[1] )); + sky130_fd_sc_hd__dfxtp_1 _1815_ (.CLK(clknet_3_7__leaf_clk), + .D(net148), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\prev_y[2] )); + sky130_fd_sc_hd__dfxtp_1 _1816_ (.CLK(clknet_3_7__leaf_clk), + .D(net142), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\prev_y[3] )); + sky130_fd_sc_hd__dfxtp_1 _1817_ (.CLK(clknet_3_7__leaf_clk), + .D(net137), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\prev_y[4] )); + sky130_fd_sc_hd__dfxtp_1 _1818_ (.CLK(clknet_3_5__leaf_clk), + .D(_0042_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\prev_y[5] )); + sky130_fd_sc_hd__dfxtp_1 _1819_ (.CLK(clknet_3_7__leaf_clk), + .D(_0043_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\prev_y[6] )); + sky130_fd_sc_hd__dfxtp_1 _1820_ (.CLK(clknet_3_7__leaf_clk), + .D(_0044_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\prev_y[7] )); + sky130_fd_sc_hd__dfxtp_1 _1821_ (.CLK(clknet_3_7__leaf_clk), + .D(_0045_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\prev_y[8] )); + sky130_fd_sc_hd__dfxtp_1 _1822_ (.CLK(clknet_3_7__leaf_clk), + .D(_0046_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\prev_y[9] )); + sky130_fd_sc_hd__dfxtp_2 _1823_ (.CLK(clknet_3_3__leaf_clk), + .D(_0047_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(uo_out[6])); + sky130_fd_sc_hd__dfxtp_1 _1824_ (.CLK(clknet_3_3__leaf_clk), + .D(_0000_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\vga_sync_gen.hsync )); + sky130_fd_sc_hd__dfxtp_1 _1825_ (.CLK(clknet_3_5__leaf_clk), + .D(_0048_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\vga_sync_gen.vpos[0] )); + sky130_fd_sc_hd__dfxtp_2 _1826_ (.CLK(clknet_3_5__leaf_clk), + .D(_0049_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\vga_sync_gen.vpos[1] )); + sky130_fd_sc_hd__dfxtp_1 _1827_ (.CLK(clknet_3_7__leaf_clk), + .D(_0050_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\vga_sync_gen.vpos[2] )); + sky130_fd_sc_hd__dfxtp_2 _1828_ (.CLK(clknet_3_5__leaf_clk), + .D(_0051_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\vga_sync_gen.vpos[3] )); + sky130_fd_sc_hd__dfxtp_1 _1829_ (.CLK(clknet_3_4__leaf_clk), + .D(_0052_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\vga_sync_gen.vpos[4] )); + sky130_fd_sc_hd__dfxtp_2 _1830_ (.CLK(clknet_3_6__leaf_clk), + .D(_0053_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\vga_sync_gen.vpos[5] )); + sky130_fd_sc_hd__dfxtp_1 _1831_ (.CLK(clknet_3_7__leaf_clk), + .D(_0054_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\vga_sync_gen.vpos[6] )); + sky130_fd_sc_hd__dfxtp_1 _1832_ (.CLK(clknet_3_6__leaf_clk), + .D(_0055_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\vga_sync_gen.vpos[7] )); + sky130_fd_sc_hd__dfxtp_1 _1833_ (.CLK(clknet_3_6__leaf_clk), + .D(_0056_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\vga_sync_gen.vpos[8] )); + sky130_fd_sc_hd__dfxtp_2 _1834_ (.CLK(clknet_3_6__leaf_clk), + .D(_0057_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\vga_sync_gen.vpos[9] )); + sky130_fd_sc_hd__dfxtp_1 _1835_ (.CLK(clknet_3_6__leaf_clk), + .D(_0001_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\vga_sync_gen.vsync )); + sky130_fd_sc_hd__dfxtp_2 _1836_ (.CLK(clknet_3_3__leaf_clk), + .D(_0058_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(uo_out[5])); + sky130_fd_sc_hd__dfxtp_2 _1837_ (.CLK(clknet_3_6__leaf_clk), + .D(_0059_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(uo_out[1])); + sky130_fd_sc_hd__dfxtp_2 _1838_ (.CLK(clknet_3_3__leaf_clk), + .D(_0060_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(uo_out[4])); + sky130_fd_sc_hd__dfxtp_2 _1839_ (.CLK(clknet_3_6__leaf_clk), + .D(_0061_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(uo_out[0])); + sky130_fd_sc_hd__buf_2 _1840_ (.A(net119), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(uio_oe[0])); + sky130_fd_sc_hd__buf_2 _1841_ (.A(net120), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(uio_oe[1])); + sky130_fd_sc_hd__buf_2 _1842_ (.A(net121), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(uio_oe[2])); + sky130_fd_sc_hd__buf_2 _1843_ (.A(net122), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(uio_oe[3])); + sky130_fd_sc_hd__buf_2 _1844_ (.A(net123), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(uio_oe[4])); + sky130_fd_sc_hd__buf_2 _1845_ (.A(net124), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(uio_oe[5])); + sky130_fd_sc_hd__buf_2 _1846_ (.A(net125), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(uio_oe[6])); + sky130_fd_sc_hd__buf_2 _1847_ (.A(net126), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(uio_oe[7])); + sky130_fd_sc_hd__buf_2 _1848_ (.A(net127), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(uio_out[0])); + sky130_fd_sc_hd__buf_2 _1849_ (.A(net128), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(uio_out[1])); + sky130_fd_sc_hd__buf_2 _1850_ (.A(net129), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(uio_out[2])); + sky130_fd_sc_hd__buf_2 _1851_ (.A(net130), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(uio_out[3])); + sky130_fd_sc_hd__buf_2 _1852_ (.A(net131), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(uio_out[4])); + sky130_fd_sc_hd__buf_2 _1853_ (.A(net132), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(uio_out[5])); + sky130_fd_sc_hd__buf_2 _1854_ (.A(net133), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(uio_out[6])); + sky130_fd_sc_hd__buf_2 _1855_ (.A(net134), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(uio_out[7])); + sky130_fd_sc_hd__buf_2 _1856_ (.A(uo_out[6]), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(uo_out[2])); + sky130_fd_sc_hd__clkbuf_4 _1857_ (.A(\vga_sync_gen.vsync ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(uo_out[3])); + sky130_fd_sc_hd__buf_2 _1858_ (.A(\vga_sync_gen.hsync ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(uo_out[7])); + sky130_fd_sc_hd__clkbuf_16 clkbuf_0_clk (.A(clk), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(clknet_0_clk)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_0_Right_0 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_1_Right_1 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_2_Right_2 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_3_Right_3 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_4_Right_4 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_5_Right_5 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_6_Right_6 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_7_Right_7 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_8_Right_8 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_9_Right_9 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_10_Right_10 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_11_Right_11 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_12_Right_12 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_13_Right_13 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_14_Right_14 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_15_Right_15 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_16_Right_16 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_17_Right_17 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_18_Right_18 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_19_Right_19 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_20_Right_20 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_21_Right_21 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_22_Right_22 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_23_Right_23 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_24_Right_24 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_25_Right_25 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_26_Right_26 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_27_Right_27 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_28_Right_28 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_29_Right_29 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_30_Right_30 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_31_Right_31 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_32_Right_32 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_33_Right_33 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_34_Right_34 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_35_Right_35 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_36_Right_36 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_37_Right_37 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_38_Right_38 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_0_Left_39 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_1_Left_40 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_2_Left_41 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_3_Left_42 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_4_Left_43 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_5_Left_44 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_6_Left_45 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_7_Left_46 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_8_Left_47 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_9_Left_48 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_10_Left_49 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_11_Left_50 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_12_Left_51 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_13_Left_52 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_14_Left_53 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_15_Left_54 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_16_Left_55 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_17_Left_56 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_18_Left_57 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_19_Left_58 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_20_Left_59 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_21_Left_60 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_22_Left_61 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_23_Left_62 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_24_Left_63 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_25_Left_64 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_26_Left_65 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_27_Left_66 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_28_Left_67 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_29_Left_68 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_30_Left_69 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_31_Left_70 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_32_Left_71 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_33_Left_72 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_34_Left_73 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_35_Left_74 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_36_Left_75 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_37_Left_76 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_38_Left_77 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_0_78 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_0_79 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_0_80 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_0_81 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_0_82 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_0_83 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_0_84 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_0_85 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_0_86 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_0_87 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_0_88 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_1_89 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_1_90 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_1_91 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_1_92 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_1_93 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_2_94 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_2_95 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_2_96 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_2_97 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_2_98 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_2_99 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_3_100 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_3_101 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_3_102 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_3_103 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_3_104 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_4_105 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_4_106 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_4_107 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_4_108 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_4_109 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_4_110 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_5_111 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_5_112 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_5_113 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_5_114 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_5_115 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_6_116 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_6_117 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_6_118 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_6_119 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_6_120 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_6_121 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_7_122 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_7_123 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_7_124 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_7_125 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_7_126 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_8_127 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_8_128 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_8_129 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_8_130 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_8_131 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_8_132 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_9_133 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_9_134 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_9_135 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_9_136 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_9_137 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_10_138 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_10_139 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_10_140 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_10_141 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_10_142 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_10_143 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_11_144 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_11_145 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_11_146 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_11_147 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_11_148 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_12_149 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_12_150 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_12_151 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_12_152 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_12_153 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_12_154 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_13_155 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_13_156 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_13_157 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_13_158 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_13_159 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_14_160 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_14_161 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_14_162 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_14_163 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_14_164 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_14_165 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_15_166 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_15_167 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_15_168 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_15_169 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_15_170 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_16_171 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_16_172 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_16_173 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_16_174 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_16_175 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_16_176 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_17_177 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_17_178 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_17_179 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_17_180 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_17_181 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_18_182 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_18_183 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_18_184 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_18_185 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_18_186 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_18_187 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_19_188 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_19_189 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_19_190 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_19_191 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_19_192 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_20_193 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_20_194 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_20_195 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_20_196 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_20_197 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_20_198 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_21_199 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_21_200 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_21_201 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_21_202 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_21_203 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_22_204 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_22_205 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_22_206 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_22_207 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_22_208 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_22_209 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_23_210 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_23_211 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_23_212 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_23_213 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_23_214 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_24_215 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_24_216 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_24_217 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_24_218 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_24_219 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_24_220 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_25_221 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_25_222 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_25_223 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_25_224 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_25_225 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_26_226 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_26_227 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_26_228 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_26_229 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_26_230 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_26_231 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_27_232 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_27_233 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_27_234 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_27_235 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_27_236 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_28_237 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_28_238 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_28_239 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_28_240 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_28_241 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_28_242 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_29_243 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_29_244 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_29_245 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_29_246 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_29_247 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_30_248 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_30_249 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_30_250 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_30_251 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_30_252 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_30_253 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_31_254 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_31_255 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_31_256 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_31_257 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_31_258 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_32_259 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_32_260 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_32_261 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_32_262 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_32_263 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_32_264 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_33_265 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_33_266 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_33_267 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_33_268 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_33_269 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_34_270 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_34_271 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_34_272 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_34_273 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_34_274 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_34_275 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_35_276 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_35_277 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_35_278 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_35_279 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_35_280 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_36_281 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_36_282 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_36_283 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_36_284 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_36_285 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_36_286 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_37_287 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_37_288 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_37_289 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_37_290 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_37_291 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_38_292 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_38_293 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_38_294 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_38_295 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_38_296 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_38_297 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_38_298 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_38_299 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_38_300 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_38_301 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_38_302 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__buf_1 input1 (.A(rst_n), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net1)); + sky130_fd_sc_hd__clkbuf_1 input2 (.A(ui_in[0]), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net2)); + sky130_fd_sc_hd__clkbuf_2 input3 (.A(ui_in[1]), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net3)); + sky130_fd_sc_hd__clkbuf_4 fanout4 (.A(net5), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net4)); + sky130_fd_sc_hd__clkbuf_4 fanout5 (.A(_0273_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net5)); + sky130_fd_sc_hd__clkbuf_4 fanout6 (.A(net7), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net6)); + sky130_fd_sc_hd__buf_2 fanout7 (.A(_0272_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net7)); + sky130_fd_sc_hd__clkbuf_4 fanout8 (.A(_0437_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net8)); + sky130_fd_sc_hd__clkbuf_4 fanout9 (.A(_0395_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net9)); + sky130_fd_sc_hd__buf_2 fanout10 (.A(_0383_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net10)); + sky130_fd_sc_hd__dlymetal6s2s_1 fanout11 (.A(_0383_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net11)); + sky130_fd_sc_hd__clkbuf_4 fanout12 (.A(_0367_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net12)); + sky130_fd_sc_hd__clkbuf_4 fanout13 (.A(_0363_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net13)); + sky130_fd_sc_hd__clkbuf_4 fanout14 (.A(_0358_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net14)); + sky130_fd_sc_hd__clkbuf_4 fanout15 (.A(_0353_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net15)); + sky130_fd_sc_hd__clkbuf_2 fanout16 (.A(_0353_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net16)); + sky130_fd_sc_hd__clkbuf_4 fanout17 (.A(_0352_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net17)); + sky130_fd_sc_hd__clkbuf_4 fanout18 (.A(_0331_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net18)); + sky130_fd_sc_hd__buf_2 fanout19 (.A(_0328_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net19)); + sky130_fd_sc_hd__clkbuf_4 fanout20 (.A(_0324_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net20)); + sky130_fd_sc_hd__buf_2 fanout21 (.A(net22), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net21)); + sky130_fd_sc_hd__clkbuf_2 fanout22 (.A(_0319_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net22)); + sky130_fd_sc_hd__clkbuf_4 fanout23 (.A(_0307_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net23)); + sky130_fd_sc_hd__buf_2 fanout24 (.A(_0307_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net24)); + sky130_fd_sc_hd__clkbuf_4 fanout25 (.A(_0306_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net25)); + sky130_fd_sc_hd__buf_2 fanout26 (.A(net30), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net26)); + sky130_fd_sc_hd__clkbuf_2 fanout27 (.A(net30), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net27)); + sky130_fd_sc_hd__buf_2 fanout28 (.A(net29), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net28)); + sky130_fd_sc_hd__buf_2 fanout29 (.A(net30), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net29)); + sky130_fd_sc_hd__clkbuf_2 fanout30 (.A(_0281_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net30)); + sky130_fd_sc_hd__buf_2 fanout31 (.A(net36), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net31)); + sky130_fd_sc_hd__buf_2 fanout32 (.A(net36), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net32)); + sky130_fd_sc_hd__buf_2 fanout33 (.A(net36), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net33)); + sky130_fd_sc_hd__clkbuf_2 fanout34 (.A(net36), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net34)); + sky130_fd_sc_hd__buf_2 fanout35 (.A(net36), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net35)); + sky130_fd_sc_hd__clkbuf_2 fanout36 (.A(_0280_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net36)); + sky130_fd_sc_hd__buf_2 fanout37 (.A(net38), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net37)); + sky130_fd_sc_hd__buf_2 fanout38 (.A(_0129_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net38)); + sky130_fd_sc_hd__buf_2 fanout39 (.A(_0129_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net39)); + sky130_fd_sc_hd__clkbuf_2 fanout40 (.A(_0129_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net40)); + sky130_fd_sc_hd__buf_2 fanout41 (.A(_0404_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net41)); + sky130_fd_sc_hd__buf_2 fanout42 (.A(net44), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net42)); + sky130_fd_sc_hd__buf_2 fanout43 (.A(net44), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net43)); + sky130_fd_sc_hd__clkbuf_2 fanout44 (.A(_0347_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net44)); + sky130_fd_sc_hd__buf_2 fanout45 (.A(net48), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net45)); + sky130_fd_sc_hd__buf_2 fanout46 (.A(net48), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net46)); + sky130_fd_sc_hd__clkbuf_2 fanout47 (.A(net48), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net47)); + sky130_fd_sc_hd__clkbuf_2 fanout48 (.A(_0347_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net48)); + sky130_fd_sc_hd__buf_2 fanout49 (.A(net54), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net49)); + sky130_fd_sc_hd__clkbuf_2 fanout50 (.A(net51), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net50)); + sky130_fd_sc_hd__clkbuf_4 fanout51 (.A(net54), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net51)); + sky130_fd_sc_hd__buf_2 fanout52 (.A(net53), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net52)); + sky130_fd_sc_hd__buf_2 fanout53 (.A(net54), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net53)); + sky130_fd_sc_hd__clkbuf_2 fanout54 (.A(_0346_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net54)); + sky130_fd_sc_hd__buf_4 fanout55 (.A(net57), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net55)); + sky130_fd_sc_hd__buf_2 fanout56 (.A(net57), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net56)); + sky130_fd_sc_hd__clkbuf_4 fanout57 (.A(_0316_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net57)); + sky130_fd_sc_hd__clkbuf_4 fanout58 (.A(net59), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net58)); + sky130_fd_sc_hd__buf_4 fanout59 (.A(_0315_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net59)); + sky130_fd_sc_hd__clkbuf_4 fanout60 (.A(_0305_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net60)); + sky130_fd_sc_hd__clkbuf_4 fanout61 (.A(_0305_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net61)); + sky130_fd_sc_hd__buf_2 fanout62 (.A(net63), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net62)); + sky130_fd_sc_hd__buf_2 fanout63 (.A(_0304_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net63)); + sky130_fd_sc_hd__buf_2 fanout64 (.A(_0303_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net64)); + sky130_fd_sc_hd__buf_2 fanout65 (.A(_0303_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net65)); + sky130_fd_sc_hd__buf_2 fanout66 (.A(net67), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net66)); + sky130_fd_sc_hd__clkbuf_4 fanout67 (.A(_0302_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net67)); + sky130_fd_sc_hd__clkbuf_4 fanout68 (.A(net71), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net68)); + sky130_fd_sc_hd__buf_2 fanout69 (.A(net71), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net69)); + sky130_fd_sc_hd__clkbuf_2 fanout70 (.A(net71), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net70)); + sky130_fd_sc_hd__clkbuf_2 fanout71 (.A(net73), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net71)); + sky130_fd_sc_hd__buf_2 fanout72 (.A(net73), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net72)); + sky130_fd_sc_hd__clkbuf_2 fanout73 (.A(_0322_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net73)); + sky130_fd_sc_hd__clkbuf_4 fanout74 (.A(net76), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net74)); + sky130_fd_sc_hd__clkbuf_4 fanout75 (.A(net76), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net75)); + sky130_fd_sc_hd__clkbuf_2 fanout76 (.A(_0322_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net76)); + sky130_fd_sc_hd__buf_2 fanout77 (.A(_0322_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net77)); + sky130_fd_sc_hd__clkbuf_2 fanout78 (.A(_0322_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net78)); + sky130_fd_sc_hd__buf_2 fanout79 (.A(net87), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net79)); + sky130_fd_sc_hd__buf_2 fanout80 (.A(net87), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net80)); + sky130_fd_sc_hd__buf_2 fanout81 (.A(net82), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net81)); + sky130_fd_sc_hd__buf_2 fanout82 (.A(net87), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net82)); + sky130_fd_sc_hd__clkbuf_4 fanout83 (.A(net87), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net83)); + sky130_fd_sc_hd__clkbuf_2 fanout84 (.A(net87), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net84)); + sky130_fd_sc_hd__buf_2 fanout85 (.A(net86), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net85)); + sky130_fd_sc_hd__buf_2 fanout86 (.A(net87), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net86)); + sky130_fd_sc_hd__buf_2 fanout87 (.A(_0321_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net87)); + sky130_fd_sc_hd__buf_4 fanout88 (.A(net89), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net88)); + sky130_fd_sc_hd__clkbuf_4 fanout89 (.A(_0309_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net89)); + sky130_fd_sc_hd__clkbuf_4 fanout90 (.A(net91), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net90)); + sky130_fd_sc_hd__buf_4 fanout91 (.A(net92), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net91)); + sky130_fd_sc_hd__clkbuf_4 fanout92 (.A(_0308_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net92)); + sky130_fd_sc_hd__buf_2 fanout93 (.A(_0099_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net93)); + sky130_fd_sc_hd__clkbuf_2 fanout94 (.A(_0099_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net94)); + sky130_fd_sc_hd__clkbuf_4 fanout95 (.A(net98), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net95)); + sky130_fd_sc_hd__dlymetal6s2s_1 fanout96 (.A(net98), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net96)); + sky130_fd_sc_hd__buf_2 fanout97 (.A(net98), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net97)); + sky130_fd_sc_hd__clkbuf_2 fanout98 (.A(_0313_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net98)); + sky130_fd_sc_hd__clkbuf_4 fanout99 (.A(net100), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net99)); + sky130_fd_sc_hd__buf_2 fanout100 (.A(_0313_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net100)); + sky130_fd_sc_hd__buf_2 fanout101 (.A(net102), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net101)); + sky130_fd_sc_hd__clkbuf_2 fanout102 (.A(net104), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net102)); + sky130_fd_sc_hd__buf_2 fanout103 (.A(net104), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net103)); + sky130_fd_sc_hd__dlymetal6s2s_1 fanout104 (.A(_0312_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net104)); + sky130_fd_sc_hd__clkbuf_4 fanout105 (.A(net106), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net105)); + sky130_fd_sc_hd__clkbuf_2 fanout106 (.A(_0312_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net106)); + sky130_fd_sc_hd__buf_2 fanout107 (.A(net108), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net107)); + sky130_fd_sc_hd__clkbuf_4 fanout108 (.A(_0312_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net108)); + sky130_fd_sc_hd__clkbuf_2 max_cap109 (.A(_0299_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net109)); + sky130_fd_sc_hd__buf_2 fanout110 (.A(\vga_sync_gen.vpos[8] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net110)); + sky130_fd_sc_hd__buf_2 fanout111 (.A(\vga_sync_gen.vpos[4] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net111)); + sky130_fd_sc_hd__clkbuf_4 fanout112 (.A(dir_y), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net112)); + sky130_fd_sc_hd__clkbuf_4 fanout113 (.A(dir_x), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net113)); + sky130_fd_sc_hd__buf_2 fanout114 (.A(net115), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net114)); + sky130_fd_sc_hd__clkbuf_2 fanout115 (.A(net1), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net115)); + sky130_fd_sc_hd__buf_2 fanout116 (.A(net118), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net116)); + sky130_fd_sc_hd__buf_2 fanout117 (.A(net118), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net117)); + sky130_fd_sc_hd__buf_2 fanout118 (.A(net1), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net118)); + sky130_fd_sc_hd__conb_1 _1840__119 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .LO(net119)); + sky130_fd_sc_hd__conb_1 _1841__120 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .LO(net120)); + sky130_fd_sc_hd__conb_1 _1842__121 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .LO(net121)); + sky130_fd_sc_hd__conb_1 _1843__122 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .LO(net122)); + sky130_fd_sc_hd__conb_1 _1844__123 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .LO(net123)); + sky130_fd_sc_hd__conb_1 _1845__124 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .LO(net124)); + sky130_fd_sc_hd__conb_1 _1846__125 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .LO(net125)); + sky130_fd_sc_hd__conb_1 _1847__126 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .LO(net126)); + sky130_fd_sc_hd__conb_1 _1848__127 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .LO(net127)); + sky130_fd_sc_hd__conb_1 _1849__128 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .LO(net128)); + sky130_fd_sc_hd__conb_1 _1850__129 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .LO(net129)); + sky130_fd_sc_hd__conb_1 _1851__130 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .LO(net130)); + sky130_fd_sc_hd__conb_1 _1852__131 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .LO(net131)); + sky130_fd_sc_hd__conb_1 _1853__132 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .LO(net132)); + sky130_fd_sc_hd__conb_1 _1854__133 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .LO(net133)); + sky130_fd_sc_hd__conb_1 _1855__134 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .LO(net134)); + sky130_fd_sc_hd__clkbuf_16 clkbuf_3_0__f_clk (.A(clknet_0_clk), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(clknet_3_0__leaf_clk)); + sky130_fd_sc_hd__clkbuf_16 clkbuf_3_1__f_clk (.A(clknet_0_clk), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(clknet_3_1__leaf_clk)); + sky130_fd_sc_hd__clkbuf_16 clkbuf_3_2__f_clk (.A(clknet_0_clk), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(clknet_3_2__leaf_clk)); + sky130_fd_sc_hd__clkbuf_16 clkbuf_3_3__f_clk (.A(clknet_0_clk), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(clknet_3_3__leaf_clk)); + sky130_fd_sc_hd__clkbuf_16 clkbuf_3_4__f_clk (.A(clknet_0_clk), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(clknet_3_4__leaf_clk)); + sky130_fd_sc_hd__clkbuf_16 clkbuf_3_5__f_clk (.A(clknet_0_clk), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(clknet_3_5__leaf_clk)); + sky130_fd_sc_hd__clkbuf_16 clkbuf_3_6__f_clk (.A(clknet_0_clk), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(clknet_3_6__leaf_clk)); + sky130_fd_sc_hd__clkbuf_16 clkbuf_3_7__f_clk (.A(clknet_0_clk), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(clknet_3_7__leaf_clk)); + sky130_fd_sc_hd__dlygate4sd3_1 hold1 (.A(\color_index[2] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net135)); + sky130_fd_sc_hd__dlygate4sd3_1 hold2 (.A(\vga_sync_gen.vpos[4] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net136)); + sky130_fd_sc_hd__dlygate4sd3_1 hold3 (.A(_0041_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net137)); + sky130_fd_sc_hd__dlygate4sd3_1 hold4 (.A(\prev_y[9] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net138)); + sky130_fd_sc_hd__dlygate4sd3_1 hold5 (.A(\prev_y[8] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net139)); + sky130_fd_sc_hd__dlygate4sd3_1 hold6 (.A(\prev_y[5] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net140)); + sky130_fd_sc_hd__dlygate4sd3_1 hold7 (.A(\prev_y[3] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net141)); + sky130_fd_sc_hd__dlygate4sd3_1 hold8 (.A(_0040_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net142)); + sky130_fd_sc_hd__dlygate4sd3_1 hold9 (.A(\prev_y[6] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net143)); + sky130_fd_sc_hd__dlygate4sd3_1 hold10 (.A(\prev_y[0] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net144)); + sky130_fd_sc_hd__dlygate4sd3_1 hold11 (.A(_0037_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net145)); + sky130_fd_sc_hd__dlygate4sd3_1 hold12 (.A(\prev_y[1] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net146)); + sky130_fd_sc_hd__dlygate4sd3_1 hold13 (.A(\prev_y[2] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net147)); + sky130_fd_sc_hd__dlygate4sd3_1 hold14 (.A(_0039_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net148)); + sky130_fd_sc_hd__dlygate4sd3_1 hold15 (.A(\prev_y[7] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net149)); + sky130_fd_sc_hd__dlygate4sd3_1 hold16 (.A(\vga_sync_gen.hpos[9] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net150)); + sky130_fd_sc_hd__dlygate4sd3_1 hold17 (.A(\vga_sync_gen.hpos[0] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net151)); + sky130_fd_sc_hd__dlygate4sd3_1 hold18 (.A(_0003_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net152)); + sky130_fd_sc_hd__dlygate4sd3_1 hold19 (.A(\vga_sync_gen.hpos[3] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net153)); + sky130_fd_sc_hd__dlygate4sd3_1 hold20 (.A(\vga_sync_gen.vpos[2] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net154)); + sky130_fd_sc_hd__dlygate4sd3_1 hold21 (.A(\logo_left[0] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net155)); + sky130_fd_sc_hd__dlygate4sd3_1 hold22 (.A(\logo_top[8] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net156)); + sky130_fd_sc_hd__dlygate4sd3_1 hold23 (.A(\vga_sync_gen.vpos[1] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net157)); + sky130_fd_sc_hd__dlygate4sd3_1 hold24 (.A(\vga_sync_gen.vpos[3] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net158)); + sky130_fd_sc_hd__dlygate4sd3_1 hold25 (.A(\vga_sync_gen.vpos[9] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net159)); + sky130_fd_sc_hd__dlygate4sd3_1 hold26 (.A(\logo_top[3] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net160)); + sky130_fd_sc_hd__dlygate4sd3_1 hold27 (.A(\vga_sync_gen.hpos[6] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net161)); + sky130_fd_sc_hd__dlygate4sd3_1 hold28 (.A(\vga_sync_gen.hpos[6] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net162)); + sky130_fd_sc_hd__diode_2 ANTENNA_1 (.DIODE(_0307_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__diode_2 ANTENNA_2 (.DIODE(_0352_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__diode_2 ANTENNA_3 (.DIODE(_0836_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__diode_2 ANTENNA_4 (.DIODE(net22), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_0_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_0_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_0_27 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_0_29 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_0_41 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_0_53 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_0_57 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_0_69 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_0_81 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_0_85 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_0_97 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_0_109 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_0_113 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_0_125 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_0_137 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_0_141 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_0_153 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_0_165 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_0_169 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_0_181 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_0_193 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_0_197 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_0_209 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_0_221 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_0_225 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_0_237 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_0_249 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_0_253 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_0_265 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_0_277 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_0_281 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_0_293 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_0_305 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_0_309 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_0_321 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_0_333 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_1_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_1_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_1_27 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_1_39 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_1_51 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_1_55 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_1_57 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_1_69 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_1_81 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_1_93 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_1_105 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_1_111 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_1_113 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_1_125 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_1_137 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_1_149 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_1_161 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_1_167 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_1_169 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_1_181 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_1_193 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_1_205 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_1_217 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_1_223 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_1_225 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_1_237 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_1_249 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_1_261 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_1_273 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_1_279 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_1_281 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_1_293 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_1_305 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_1_317 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_1_329 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_2_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_2_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_2_27 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_2_29 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_2_41 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_2_53 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_2_65 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_2_77 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_2_83 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_2_85 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_2_97 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_2_109 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_2_121 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_2_133 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_2_139 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_2_141 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_2_153 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_2_163 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_2_175 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_2_187 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_2_195 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_2_197 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_2_209 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_2_221 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_2_233 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_2_245 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_2_251 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_2_253 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_2_265 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_2_277 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_2_289 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_2_301 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_2_307 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_2_309 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_2_321 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_2_333 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_3_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_3_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_3_27 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_3_39 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_3_51 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_3_55 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_3_66 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_3_78 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_3_82 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_3_89 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_3_101 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_3_113 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_3_127 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_3_135 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_3_166 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_3_169 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_3_183 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_3_191 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_3_199 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_3_206 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_3_222 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_3_225 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_3_237 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_3_243 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_3_255 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_3_269 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_3_277 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_3_281 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_3_293 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_3_305 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_3_317 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_3_329 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_4_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_4_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_4_27 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_4_29 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_4_41 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_4_71 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_4_83 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_4_85 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_4_95 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_4_103 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_4_113 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_4_119 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_4_136 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_4_141 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_4_154 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_4_166 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_4_174 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_4_195 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_4_197 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_4_209 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_4_221 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_4_234 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_4_242 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_4_249 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_4_262 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_4_282 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_4_289 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_4_301 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_4_307 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_4_309 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_4_321 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_4_333 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_5_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_5_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_5_27 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_5_31 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_5_57 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_5_70 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_5_88 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_5_98 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_5_104 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_5_108 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_5_113 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_5_128 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_5_140 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_5_144 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_5_151 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_5_184 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_5_200 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_5_215 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_5_219 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_5_237 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_5_257 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_5_274 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_5_288 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_5_300 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_5_312 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_5_324 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_5_332 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_6_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_6_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_6_27 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_6_29 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_6_37 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_6_51 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_6_66 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_6_75 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_6_85 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_6_93 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_6_100 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_6_115 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_6_126 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_6_134 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_6_163 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_6_184 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_6_193 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_6_197 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_6_201 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_6_209 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_6_221 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_6_227 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_6_234 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_6_251 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_6_253 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_6_281 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_6_291 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_6_299 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_6_317 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_6_329 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_7_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_7_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_7_27 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_7_43 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_7_64 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_7_85 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_7_103 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_7_111 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_7_113 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_7_131 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_7_145 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_7_166 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_7_174 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_7_181 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_7_190 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_7_203 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_7_216 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_7_245 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_7_258 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_7_273 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_7_281 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_7_298 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_7_309 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_7_321 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_7_333 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_8_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_8_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_8_27 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_8_29 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_8_35 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_8_47 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_8_77 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_8_93 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_8_109 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_8_121 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_8_137 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_8_141 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_8_151 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_8_157 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_8_161 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_8_165 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_8_175 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_8_187 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_8_207 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_8_217 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_8_231 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_8_248 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_8_253 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_8_268 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_8_287 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_8_299 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_8_314 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_8_326 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_8_334 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_9_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_9_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_9_35 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_9_52 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_9_64 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_9_110 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_9_119 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_9_134 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_9_159 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_9_167 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_9_178 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_9_190 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_9_200 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_9_212 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_9_233 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_9_237 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_9_246 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_9_254 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_9_258 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_9_269 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_9_289 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_9_302 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_9_306 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_9_314 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_9_326 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_9_334 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_10_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_10_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_10_27 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_10_38 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_10_42 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_10_82 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_10_90 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_10_98 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_10_114 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_10_129 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_10_137 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_10_141 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_10_149 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_10_155 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_10_175 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_10_189 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_10_195 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_10_210 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_10_216 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_10_228 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_10_238 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_10_242 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_10_253 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_10_265 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_10_282 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_10_292 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_10_309 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_10_326 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_10_334 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_11_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_11_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_11_27 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_11_31 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_11_38 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_11_46 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_11_54 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_11_57 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_11_80 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_11_84 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_11_101 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_11_107 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_11_113 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_11_125 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_11_144 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_11_162 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_11_169 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_11_181 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_11_189 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_11_200 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_11_212 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_11_239 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_11_256 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_11_269 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_11_278 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_11_295 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_11_318 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_11_330 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_11_334 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_12_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_12_15 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_12_23 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_12_29 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_12_40 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_12_48 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_12_59 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_12_67 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_12_73 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_12_81 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_12_85 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_12_95 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_12_102 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_12_113 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_12_121 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_12_138 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_12_141 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_12_150 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_12_161 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_12_167 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_12_190 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_12_206 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_12_214 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_12_232 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_12_244 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_12_261 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_12_265 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_12_274 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_12_286 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_12_292 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_12_304 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_12_322 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_12_334 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_13_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_13_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_13_27 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_13_41 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_13_53 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_13_57 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_13_69 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_13_84 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_13_91 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_13_109 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_13_120 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_13_135 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_13_146 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_13_158 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_13_166 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_13_169 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_13_177 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_13_187 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_13_195 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_13_216 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_13_233 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_13_247 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_13_261 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_13_277 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_13_281 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_13_287 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_13_295 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_13_303 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_13_307 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_13_315 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_13_327 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_14_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_14_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_14_27 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_14_37 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_14_43 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_14_51 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_14_61 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_14_73 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_14_90 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_14_111 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_14_119 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_14_124 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_14_136 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_14_162 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_14_174 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_14_190 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_14_212 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_14_224 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_14_237 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_14_249 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_14_253 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_14_265 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_14_273 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_14_278 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_14_282 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_14_294 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_14_306 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_14_314 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_14_320 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_14_332 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_15_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_15_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_15_32 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_15_44 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_15_64 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_15_68 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_15_72 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_15_84 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_15_103 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_15_111 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_15_119 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_15_133 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_15_140 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_15_148 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_15_165 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_15_173 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_15_177 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_15_201 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_15_205 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_15_218 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_15_234 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_15_249 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_15_253 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_15_257 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_15_265 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_15_269 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_15_274 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_15_281 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_15_296 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_15_300 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_15_334 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_16_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_16_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_16_27 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_16_29 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_16_33 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_16_47 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_16_64 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_16_72 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_16_83 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_16_85 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_16_97 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_16_108 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_16_119 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_16_125 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_16_141 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_16_165 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_16_191 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_16_195 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_16_206 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_16_217 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_16_225 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_16_235 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_16_247 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_16_251 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_16_253 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_16_267 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_16_271 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_16_275 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_16_283 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_16_300 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_16_317 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_16_329 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_17_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_17_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_17_27 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_17_35 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_17_43 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_17_55 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_17_65 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_17_83 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_17_87 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_17_94 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_17_110 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_17_113 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_17_121 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_17_135 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_17_149 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_17_158 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_17_166 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_17_169 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_17_181 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_17_189 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_17_202 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_17_210 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_17_219 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_17_223 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_17_225 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_17_245 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_17_251 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_17_259 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_17_279 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_17_288 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_17_300 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_17_318 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_17_330 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_17_334 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_18_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_18_15 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_18_21 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_18_29 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_18_38 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_18_46 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_18_55 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_18_82 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_18_85 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_18_94 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_18_109 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_18_113 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_18_119 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_18_126 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_18_133 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_18_139 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_18_141 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_18_166 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_18_174 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_18_178 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_18_190 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_18_194 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_18_206 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_18_210 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_18_229 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_18_236 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_18_242 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_18_246 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_18_253 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_18_265 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_18_285 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_18_297 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_18_309 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_18_321 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_18_333 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_19_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_19_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_19_27 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_19_33 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_19_41 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_19_49 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_19_72 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_19_80 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_19_91 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_19_107 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_19_111 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_19_144 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_19_164 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_19_169 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_19_175 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_19_190 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_19_206 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_19_222 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_19_225 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_19_231 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_19_242 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_19_250 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_19_256 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_19_268 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_19_278 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_19_281 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_19_293 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_19_297 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_19_310 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_19_322 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_19_334 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_20_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_20_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_20_27 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_20_29 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_20_33 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_20_48 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_20_71 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_20_80 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_20_85 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_20_95 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_20_103 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_20_110 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_20_122 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_20_134 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_20_148 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_20_153 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_20_165 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_20_192 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_20_203 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_20_214 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_20_222 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_20_236 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_20_251 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_20_253 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_20_278 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_20_301 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_20_307 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_20_319 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_20_331 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_21_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_21_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_21_27 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_21_35 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_21_45 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_21_69 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_21_89 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_21_108 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_21_118 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_21_122 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_21_129 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_21_164 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_21_179 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_21_191 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_21_208 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_21_220 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_21_225 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_21_238 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_21_255 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_21_264 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_21_272 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_21_278 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_21_293 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_21_299 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_21_322 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_21_334 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_22_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_22_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_22_27 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_22_50 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_22_105 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_22_111 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_22_118 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_22_124 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_22_136 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_22_149 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_22_167 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_22_181 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_22_197 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_22_209 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_22_227 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_22_238 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_22_242 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_22_260 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_22_280 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_22_295 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_22_306 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_22_317 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_22_329 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_23_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_23_15 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_23_19 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_23_65 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_23_95 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_23_109 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_23_124 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_23_149 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_23_159 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_23_167 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_23_169 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_23_177 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_23_189 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_23_223 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_23_251 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_23_259 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_23_267 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_23_278 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_23_281 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_23_293 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_23_323 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_24_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_24_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_24_27 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_24_29 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_24_40 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_24_81 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_24_97 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_24_124 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_24_135 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_24_139 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_24_147 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_24_163 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_24_174 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_24_184 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_24_197 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_24_204 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_24_236 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_24_249 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_24_260 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_24_264 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_24_301 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_24_309 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_24_328 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_24_334 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_25_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_25_15 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_25_19 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_25_48 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_25_57 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_25_97 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_25_113 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_25_123 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_25_132 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_25_144 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_25_150 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_25_162 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_25_169 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_25_175 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_25_183 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_25_187 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_25_208 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_25_236 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_25_271 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_25_279 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_25_281 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_25_285 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_25_293 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_25_319 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_26_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_26_15 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_26_25 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_26_29 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_26_33 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_26_56 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_26_112 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_26_137 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_26_141 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_26_167 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_26_183 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_26_189 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_26_204 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_26_220 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_26_233 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_26_249 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_26_253 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_26_285 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_26_307 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_26_312 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_27_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_27_23 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_27_35 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_27_109 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_27_113 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_27_125 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_27_148 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_27_156 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_27_167 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_27_185 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_27_194 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_27_208 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_27_234 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_27_243 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_27_258 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_27_273 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_27_298 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_28_3 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_28_11 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_28_29 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_28_50 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_28_58 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_28_68 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_28_76 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_28_82 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_28_89 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_28_134 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_28_173 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_28_194 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_28_215 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_28_223 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_28_230 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_28_245 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_28_251 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_28_264 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_28_270 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_28_287 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_28_334 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_29_3 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_29_11 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_29_28 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_29_36 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_29_45 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_29_98 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_29_107 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_29_111 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_29_120 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_29_152 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_29_175 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_29_183 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_29_192 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_29_222 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_29_237 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_29_252 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_29_273 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_29_308 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_30_3 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_30_12 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_30_29 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_30_50 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_30_54 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_30_63 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_30_72 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_30_121 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_30_128 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_30_133 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_30_139 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_30_141 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_30_148 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_30_161 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_30_197 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_30_230 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_30_248 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_31_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_31_15 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_31_25 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_31_57 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_31_86 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_31_109 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_31_135 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_31_152 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_31_156 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_31_165 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_31_201 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_31_214 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_31_245 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_31_252 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_31_279 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_31_281 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_31_297 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_31_333 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_32_3 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_32_29 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_32_35 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_32_39 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_32_46 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_32_52 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_32_61 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_32_67 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_32_91 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_32_108 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_32_113 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_32_127 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_32_133 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_32_139 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_32_170 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_32_197 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_32_221 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_32_229 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_32_253 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_32_276 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_33_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_33_28 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_33_38 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_33_46 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_33_57 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_33_72 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_33_83 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_33_101 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_33_118 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_33_144 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_33_152 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_33_156 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_33_190 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_33_212 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_33_225 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_33_254 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_33_262 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_34_3 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_34_12 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_34_21 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_34_27 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_34_54 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_34_65 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_34_93 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_34_97 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_34_153 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_34_176 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_34_182 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_34_192 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_34_197 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_34_215 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_34_236 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_34_243 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_34_253 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_34_307 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_34_334 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_35_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_35_15 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_35_21 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_35_38 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_35_78 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_35_92 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_35_106 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_35_111 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_35_129 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_35_139 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_35_167 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_35_174 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_35_200 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_35_214 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_35_260 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_35_317 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_36_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_36_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_36_27 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_36_29 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_36_41 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_36_49 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_36_105 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_36_119 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_36_168 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_36_177 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_36_185 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_36_190 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_36_213 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_36_226 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_36_253 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_36_307 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_36_317 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_37_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_37_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_37_27 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_37_39 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_37_51 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_37_55 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_37_57 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_37_69 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_37_92 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_37_165 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_37_176 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_37_196 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_37_314 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_38_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_38_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_38_27 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_38_29 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_38_41 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_38_53 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_38_57 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_38_69 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_38_74 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_38_117 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_38_123 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_38_141 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_38_169 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_38_192 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_38_223 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_38_306 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); +endmodule