diff --git a/projects/tt_um_gfg_development_tinymandelbrot/commit_id.json b/projects/tt_um_gfg_development_tinymandelbrot/commit_id.json index 5cbfcd3..f98e82b 100644 --- a/projects/tt_um_gfg_development_tinymandelbrot/commit_id.json +++ b/projects/tt_um_gfg_development_tinymandelbrot/commit_id.json @@ -1,8 +1,8 @@ { "app": "Tiny Tapeout tt08 86beb5d8", "repo": "https://github.com/gfg-development/tt08-tiny-mandelbrot", - "commit": "31474426fb25435a0c7cdf77da71a76d7891ef77", - "workflow_url": "https://github.com/gfg-development/tt08-tiny-mandelbrot/actions/runs/10355748051", + "commit": "930be08edad1c24be5aba97a1b0bcfea90104fbd", + "workflow_url": "https://github.com/gfg-development/tt08-tiny-mandelbrot/actions/runs/10513057586", "sort_id": 1723551280797, "openlane_version": "OpenLane2 2.0.8", "pdk_version": "open_pdks bdc9412b3e468c102d01b7cf6337be06ec6e9c9a" diff --git a/projects/tt_um_gfg_development_tinymandelbrot/info.yaml b/projects/tt_um_gfg_development_tinymandelbrot/info.yaml index 2624ee9..9b0da52 100644 --- a/projects/tt_um_gfg_development_tinymandelbrot/info.yaml +++ b/projects/tt_um_gfg_development_tinymandelbrot/info.yaml @@ -17,9 +17,11 @@ project: # Source files must be in ./src and you must list each source file separately, one per line. # Don't forget to also update `PROJECT_SOURCES` in test/Makefile. source_files: - - "upper_adder.v" - - "adder.v" + - "color_map.v" - "vga_rp2040_framebuffer.v" + - "vga_timing.v" + - "bit_serial_mult.v" + - "radix4_serial_mult.v" - "mandelbrot_alu.v" - "mandelbrot.v" - "project.v" @@ -27,34 +29,34 @@ project: # The pinout of your project. Leave unused pins blank. DO NOT delete or add any pins. pinout: # Inputs - ui[0]: "" - ui[1]: "" - ui[2]: "" - ui[3]: "" - ui[4]: "" - ui[5]: "" - ui[6]: "" - ui[7]: "" + ui[0]: "serial enable" + ui[1]: "serial data" + ui[2]: "serial clock" + ui[3]: "output select" + ui[4]: "frame data[0]" + ui[5]: "frame data[1]" + ui[6]: "frame data[2]" + ui[7]: "frame data[3]" # Outputs - uo[0]: "ctr[0]" - uo[1]: "ctr[0]" - uo[2]: "ctr[0]" - uo[3]: "ctr[0]" - uo[4]: "new_ctr" - uo[5]: "" - uo[6]: "" - uo[7]: "" + uo[0]: "R[1] or ctr[0]" + uo[1]: "G[1] or ctr[0]" + uo[2]: "B[1] or ctr[0]" + uo[3]: "vsync or ctr[0]" + uo[4]: "R[0] or new counter" + uo[5]: "G[0]" + uo[6]: "B[0]" + uo[7]: "hsync" # Bidirectional pins - uio[0]: "" - uio[1]: "" - uio[2]: "" - uio[3]: "" - uio[4]: "" - uio[5]: "" - uio[6]: "" - uio[7]: "" + uio[0]: "write data[0]" + uio[1]: "write data[1]" + uio[2]: "write data[2]" + uio[3]: "write data[3]" + uio[4]: "reset write pointer" + uio[5]: "write data" + uio[6]: "reset read pointer" + uio[7]: "read" # Do not change! yaml_version: 6 diff --git a/projects/tt_um_gfg_development_tinymandelbrot/stats/metrics.csv b/projects/tt_um_gfg_development_tinymandelbrot/stats/metrics.csv index ad5151e..2ed5ac3 100644 --- a/projects/tt_um_gfg_development_tinymandelbrot/stats/metrics.csv +++ b/projects/tt_um_gfg_development_tinymandelbrot/stats/metrics.csv @@ -1,83 +1,83 @@ Metric,Value design__lint_error__count,0 design__lint_timing_construct__count,0 -design__lint_warning__count,18 +design__lint_warning__count,8 design__inferred_latch__count,0 -design__instance__count,3402 -design__instance__area,24073.1 +design__instance__count,2861 +design__instance__area,23560.1 design__instance_unmapped__count,0 synthesis__check_error__count,0 -design__max_slew_violation__count__corner:nom_tt_025C_1v80,3 -design__max_fanout_violation__count__corner:nom_tt_025C_1v80,8 +design__max_slew_violation__count__corner:nom_tt_025C_1v80,0 +design__max_fanout_violation__count__corner:nom_tt_025C_1v80,16 design__max_cap_violation__count__corner:nom_tt_025C_1v80,0 -power__internal__total,0.00031228139414452016 -power__switching__total,0.00013578965445049107 -power__leakage__total,2.560351575198183e-08 -power__total,0.00044809665996581316 -clock__skew__worst_hold__corner:nom_tt_025C_1v80,0.043982 -clock__skew__worst_setup__corner:nom_tt_025C_1v80,0.043982 -timing__hold__ws__corner:nom_tt_025C_1v80,0.318971 -timing__setup__ws__corner:nom_tt_025C_1v80,19.795918 +power__internal__total,0.0025271533522754908 +power__switching__total,0.0022922635544091463 +power__leakage__total,2.5780568790878533e-08 +power__total,0.004819442518055439 +clock__skew__worst_hold__corner:nom_tt_025C_1v80,0.095208 +clock__skew__worst_setup__corner:nom_tt_025C_1v80,0.095208 +timing__hold__ws__corner:nom_tt_025C_1v80,0.309189 +timing__setup__ws__corner:nom_tt_025C_1v80,6.150103 timing__hold__tns__corner:nom_tt_025C_1v80,0.0 timing__setup__tns__corner:nom_tt_025C_1v80,0.0 timing__hold__wns__corner:nom_tt_025C_1v80,0.0 timing__setup__wns__corner:nom_tt_025C_1v80,0.0 timing__hold_vio__count__corner:nom_tt_025C_1v80,0 -timing__hold_r2r__ws__corner:nom_tt_025C_1v80,0.318971 +timing__hold_r2r__ws__corner:nom_tt_025C_1v80,0.309189 timing__hold_r2r_vio__count__corner:nom_tt_025C_1v80,0 timing__setup_vio__count__corner:nom_tt_025C_1v80,0 -timing__setup_r2r__ws__corner:nom_tt_025C_1v80,25.060064 +timing__setup_r2r__ws__corner:nom_tt_025C_1v80,6.686485 timing__setup_r2r_vio__count__corner:nom_tt_025C_1v80,0 -design__max_slew_violation__count__corner:nom_ss_100C_1v60,14 -design__max_fanout_violation__count__corner:nom_ss_100C_1v60,8 +design__max_slew_violation__count__corner:nom_ss_100C_1v60,3 +design__max_fanout_violation__count__corner:nom_ss_100C_1v60,16 design__max_cap_violation__count__corner:nom_ss_100C_1v60,0 -clock__skew__worst_hold__corner:nom_ss_100C_1v60,0.067508 -clock__skew__worst_setup__corner:nom_ss_100C_1v60,0.067508 -timing__hold__ws__corner:nom_ss_100C_1v60,0.867612 -timing__setup__ws__corner:nom_ss_100C_1v60,15.636749 +clock__skew__worst_hold__corner:nom_ss_100C_1v60,0.148549 +clock__skew__worst_setup__corner:nom_ss_100C_1v60,0.148549 +timing__hold__ws__corner:nom_ss_100C_1v60,0.88432 +timing__setup__ws__corner:nom_ss_100C_1v60,3.745086 timing__hold__tns__corner:nom_ss_100C_1v60,0.0 timing__setup__tns__corner:nom_ss_100C_1v60,0.0 timing__hold__wns__corner:nom_ss_100C_1v60,0.0 timing__setup__wns__corner:nom_ss_100C_1v60,0.0 timing__hold_vio__count__corner:nom_ss_100C_1v60,0 -timing__hold_r2r__ws__corner:nom_ss_100C_1v60,0.867612 +timing__hold_r2r__ws__corner:nom_ss_100C_1v60,0.88432 timing__hold_r2r_vio__count__corner:nom_ss_100C_1v60,0 timing__setup_vio__count__corner:nom_ss_100C_1v60,0 -timing__setup_r2r__ws__corner:nom_ss_100C_1v60,15.636749 +timing__setup_r2r__ws__corner:nom_ss_100C_1v60,3.745086 timing__setup_r2r_vio__count__corner:nom_ss_100C_1v60,0 design__max_slew_violation__count__corner:nom_ff_n40C_1v95,0 -design__max_fanout_violation__count__corner:nom_ff_n40C_1v95,8 +design__max_fanout_violation__count__corner:nom_ff_n40C_1v95,16 design__max_cap_violation__count__corner:nom_ff_n40C_1v95,0 -clock__skew__worst_hold__corner:nom_ff_n40C_1v95,0.033721 -clock__skew__worst_setup__corner:nom_ff_n40C_1v95,0.033721 -timing__hold__ws__corner:nom_ff_n40C_1v95,0.113596 -timing__setup__ws__corner:nom_ff_n40C_1v95,20.122072 +clock__skew__worst_hold__corner:nom_ff_n40C_1v95,0.072203 +clock__skew__worst_setup__corner:nom_ff_n40C_1v95,0.072203 +timing__hold__ws__corner:nom_ff_n40C_1v95,0.112178 +timing__setup__ws__corner:nom_ff_n40C_1v95,6.04613 timing__hold__tns__corner:nom_ff_n40C_1v95,0.0 timing__setup__tns__corner:nom_ff_n40C_1v95,0.0 timing__hold__wns__corner:nom_ff_n40C_1v95,0.0 timing__setup__wns__corner:nom_ff_n40C_1v95,0.0 timing__hold_vio__count__corner:nom_ff_n40C_1v95,0 -timing__hold_r2r__ws__corner:nom_ff_n40C_1v95,0.113596 +timing__hold_r2r__ws__corner:nom_ff_n40C_1v95,0.112178 timing__hold_r2r_vio__count__corner:nom_ff_n40C_1v95,0 timing__setup_vio__count__corner:nom_ff_n40C_1v95,0 -timing__setup_r2r__ws__corner:nom_ff_n40C_1v95,28.61783 +timing__setup_r2r__ws__corner:nom_ff_n40C_1v95,7.748562 timing__setup_r2r_vio__count__corner:nom_ff_n40C_1v95,0 -design__max_slew_violation__count,17 -design__max_fanout_violation__count,8 +design__max_slew_violation__count,3 +design__max_fanout_violation__count,16 design__max_cap_violation__count,0 -clock__skew__worst_hold,0.072531 -clock__skew__worst_setup,0.031642 -timing__hold__ws,0.110788 -timing__setup__ws,15.307306 +clock__skew__worst_hold,0.155293 +clock__skew__worst_setup,0.068005 +timing__hold__ws,0.109755 +timing__setup__ws,3.626768 timing__hold__tns,0.0 timing__setup__tns,0.0 timing__hold__wns,0.0 timing__setup__wns,0.0 timing__hold_vio__count,0 -timing__hold_r2r__ws,0.110788 +timing__hold_r2r__ws,0.109755 timing__hold_r2r_vio__count,0 timing__setup_vio__count,0 -timing__setup_r2r__ws,15.307306 +timing__setup_r2r__ws,3.626768 timing__setup_r2r_vio__count,0 design__die__bbox,0.0 0.0 161.0 225.76 design__core__bbox,2.76 2.72 158.24 223.04 @@ -86,12 +86,12 @@ flow__errors__count,0 design__io,45 design__die__area,36347.4 design__core__area,34255.4 -design__instance__count__stdcell,3402 -design__instance__area__stdcell,24073.1 +design__instance__count__stdcell,2861 +design__instance__area__stdcell,23560.1 design__instance__count__macros,0 design__instance__area__macros,0 -design__instance__utilization,0.702754 -design__instance__utilization__stdcell,0.702754 +design__instance__utilization,0.687779 +design__instance__utilization__stdcell,0.687779 design__power_grid_violation__count__net:VGND,0 design__power_grid_violation__count__net:VPWR,0 design__power_grid_violation__count,0 @@ -100,35 +100,35 @@ timing__drv__floating__pins,0 design__instance__displacement__total,0 design__instance__displacement__mean,0 design__instance__displacement__max,0 -route__wirelength__estimated,60155.2 +route__wirelength__estimated,47758.8 design__violations,0 design__instance__count__setup_buffer,0 -design__instance__count__hold_buffer,104 -antenna__violating__nets,2 -antenna__violating__pins,2 -route__antenna_violation__count,2 -route__net,2965 +design__instance__count__hold_buffer,175 +antenna__violating__nets,1 +antenna__violating__pins,1 +route__antenna_violation__count,1 +route__net,2424 route__net__special,2 -route__drc_errors__iter:1,2022 -route__wirelength__iter:1,69665 -route__drc_errors__iter:2,967 -route__wirelength__iter:2,68582 -route__drc_errors__iter:3,1035 -route__wirelength__iter:3,68309 -route__drc_errors__iter:4,264 -route__wirelength__iter:4,68286 -route__drc_errors__iter:5,98 -route__wirelength__iter:5,68271 +route__drc_errors__iter:1,1357 +route__wirelength__iter:1,55015 +route__drc_errors__iter:2,605 +route__wirelength__iter:2,54206 +route__drc_errors__iter:3,534 +route__wirelength__iter:3,54167 +route__drc_errors__iter:4,34 +route__wirelength__iter:4,54111 +route__drc_errors__iter:5,2 +route__wirelength__iter:5,54103 route__drc_errors__iter:6,0 -route__wirelength__iter:6,68250 +route__wirelength__iter:6,54105 route__drc_errors,0 -route__wirelength,68250 -route__vias,21217 -route__vias__singlecut,21217 +route__wirelength,54105 +route__vias,17405 +route__vias__singlecut,17405 route__vias__multicut,0 design__disconnected_pin__count,9 design__critical_disconnected_pin__count,0 -route__wirelength__max,319.84 +route__wirelength__max,475.59 timing__unannotated_net__count__corner:nom_tt_025C_1v80,17 timing__unannotated_net_filtered__count__corner:nom_tt_025C_1v80,0 timing__unannotated_net__count__corner:nom_ss_100C_1v60,17 @@ -136,130 +136,130 @@ timing__unannotated_net_filtered__count__corner:nom_ss_100C_1v60,0 timing__unannotated_net__count__corner:nom_ff_n40C_1v95,17 timing__unannotated_net_filtered__count__corner:nom_ff_n40C_1v95,0 design__max_slew_violation__count__corner:min_tt_025C_1v80,0 -design__max_fanout_violation__count__corner:min_tt_025C_1v80,8 +design__max_fanout_violation__count__corner:min_tt_025C_1v80,16 design__max_cap_violation__count__corner:min_tt_025C_1v80,0 -clock__skew__worst_hold__corner:min_tt_025C_1v80,0.041605 -clock__skew__worst_setup__corner:min_tt_025C_1v80,0.041605 -timing__hold__ws__corner:min_tt_025C_1v80,0.315263 -timing__setup__ws__corner:min_tt_025C_1v80,19.825121 +clock__skew__worst_hold__corner:min_tt_025C_1v80,0.089581 +clock__skew__worst_setup__corner:min_tt_025C_1v80,0.089581 +timing__hold__ws__corner:min_tt_025C_1v80,0.305195 +timing__setup__ws__corner:min_tt_025C_1v80,6.141283 timing__hold__tns__corner:min_tt_025C_1v80,0.0 timing__setup__tns__corner:min_tt_025C_1v80,0.0 timing__hold__wns__corner:min_tt_025C_1v80,0.0 timing__setup__wns__corner:min_tt_025C_1v80,0.0 timing__hold_vio__count__corner:min_tt_025C_1v80,0 -timing__hold_r2r__ws__corner:min_tt_025C_1v80,0.315263 +timing__hold_r2r__ws__corner:min_tt_025C_1v80,0.305195 timing__hold_r2r_vio__count__corner:min_tt_025C_1v80,0 timing__setup_vio__count__corner:min_tt_025C_1v80,0 -timing__setup_r2r__ws__corner:min_tt_025C_1v80,25.247324 +timing__setup_r2r__ws__corner:min_tt_025C_1v80,6.755626 timing__setup_r2r_vio__count__corner:min_tt_025C_1v80,0 timing__unannotated_net__count__corner:min_tt_025C_1v80,17 timing__unannotated_net_filtered__count__corner:min_tt_025C_1v80,0 -design__max_slew_violation__count__corner:min_ss_100C_1v60,10 -design__max_fanout_violation__count__corner:min_ss_100C_1v60,8 +design__max_slew_violation__count__corner:min_ss_100C_1v60,0 +design__max_fanout_violation__count__corner:min_ss_100C_1v60,16 design__max_cap_violation__count__corner:min_ss_100C_1v60,0 -clock__skew__worst_hold__corner:min_ss_100C_1v60,0.064313 -clock__skew__worst_setup__corner:min_ss_100C_1v60,0.064313 -timing__hold__ws__corner:min_ss_100C_1v60,0.860231 -timing__setup__ws__corner:min_ss_100C_1v60,15.962737 +clock__skew__worst_hold__corner:min_ss_100C_1v60,0.140773 +clock__skew__worst_setup__corner:min_ss_100C_1v60,0.140773 +timing__hold__ws__corner:min_ss_100C_1v60,0.878115 +timing__setup__ws__corner:min_ss_100C_1v60,3.870595 timing__hold__tns__corner:min_ss_100C_1v60,0.0 timing__setup__tns__corner:min_ss_100C_1v60,0.0 timing__hold__wns__corner:min_ss_100C_1v60,0.0 timing__setup__wns__corner:min_ss_100C_1v60,0.0 timing__hold_vio__count__corner:min_ss_100C_1v60,0 -timing__hold_r2r__ws__corner:min_ss_100C_1v60,0.860231 +timing__hold_r2r__ws__corner:min_ss_100C_1v60,0.878115 timing__hold_r2r_vio__count__corner:min_ss_100C_1v60,0 timing__setup_vio__count__corner:min_ss_100C_1v60,0 -timing__setup_r2r__ws__corner:min_ss_100C_1v60,15.962737 +timing__setup_r2r__ws__corner:min_ss_100C_1v60,3.870595 timing__setup_r2r_vio__count__corner:min_ss_100C_1v60,0 timing__unannotated_net__count__corner:min_ss_100C_1v60,17 timing__unannotated_net_filtered__count__corner:min_ss_100C_1v60,0 design__max_slew_violation__count__corner:min_ff_n40C_1v95,0 -design__max_fanout_violation__count__corner:min_ff_n40C_1v95,8 +design__max_fanout_violation__count__corner:min_ff_n40C_1v95,16 design__max_cap_violation__count__corner:min_ff_n40C_1v95,0 -clock__skew__worst_hold__corner:min_ff_n40C_1v95,0.031642 -clock__skew__worst_setup__corner:min_ff_n40C_1v95,0.031642 -timing__hold__ws__corner:min_ff_n40C_1v95,0.110788 -timing__setup__ws__corner:min_ff_n40C_1v95,20.140402 +clock__skew__worst_hold__corner:min_ff_n40C_1v95,0.068005 +clock__skew__worst_setup__corner:min_ff_n40C_1v95,0.068005 +timing__hold__ws__corner:min_ff_n40C_1v95,0.109755 +timing__setup__ws__corner:min_ff_n40C_1v95,6.038804 timing__hold__tns__corner:min_ff_n40C_1v95,0.0 timing__setup__tns__corner:min_ff_n40C_1v95,0.0 timing__hold__wns__corner:min_ff_n40C_1v95,0.0 timing__setup__wns__corner:min_ff_n40C_1v95,0.0 timing__hold_vio__count__corner:min_ff_n40C_1v95,0 -timing__hold_r2r__ws__corner:min_ff_n40C_1v95,0.110788 +timing__hold_r2r__ws__corner:min_ff_n40C_1v95,0.109755 timing__hold_r2r_vio__count__corner:min_ff_n40C_1v95,0 timing__setup_vio__count__corner:min_ff_n40C_1v95,0 -timing__setup_r2r__ws__corner:min_ff_n40C_1v95,28.740866 +timing__setup_r2r__ws__corner:min_ff_n40C_1v95,7.794387 timing__setup_r2r_vio__count__corner:min_ff_n40C_1v95,0 timing__unannotated_net__count__corner:min_ff_n40C_1v95,17 timing__unannotated_net_filtered__count__corner:min_ff_n40C_1v95,0 -design__max_slew_violation__count__corner:max_tt_025C_1v80,3 -design__max_fanout_violation__count__corner:max_tt_025C_1v80,8 +design__max_slew_violation__count__corner:max_tt_025C_1v80,0 +design__max_fanout_violation__count__corner:max_tt_025C_1v80,16 design__max_cap_violation__count__corner:max_tt_025C_1v80,0 -clock__skew__worst_hold__corner:max_tt_025C_1v80,0.048031 -clock__skew__worst_setup__corner:max_tt_025C_1v80,0.048031 -timing__hold__ws__corner:max_tt_025C_1v80,0.322948 -timing__setup__ws__corner:max_tt_025C_1v80,19.766472 +clock__skew__worst_hold__corner:max_tt_025C_1v80,0.100819 +clock__skew__worst_setup__corner:max_tt_025C_1v80,0.100819 +timing__hold__ws__corner:max_tt_025C_1v80,0.313454 +timing__setup__ws__corner:max_tt_025C_1v80,6.160946 timing__hold__tns__corner:max_tt_025C_1v80,0.0 timing__setup__tns__corner:max_tt_025C_1v80,0.0 timing__hold__wns__corner:max_tt_025C_1v80,0.0 timing__setup__wns__corner:max_tt_025C_1v80,0.0 timing__hold_vio__count__corner:max_tt_025C_1v80,0 -timing__hold_r2r__ws__corner:max_tt_025C_1v80,0.322948 +timing__hold_r2r__ws__corner:max_tt_025C_1v80,0.313454 timing__hold_r2r_vio__count__corner:max_tt_025C_1v80,0 timing__setup_vio__count__corner:max_tt_025C_1v80,0 -timing__setup_r2r__ws__corner:max_tt_025C_1v80,24.868677 +timing__setup_r2r__ws__corner:max_tt_025C_1v80,6.621289 timing__setup_r2r_vio__count__corner:max_tt_025C_1v80,0 timing__unannotated_net__count__corner:max_tt_025C_1v80,17 timing__unannotated_net_filtered__count__corner:max_tt_025C_1v80,0 -design__max_slew_violation__count__corner:max_ss_100C_1v60,17 -design__max_fanout_violation__count__corner:max_ss_100C_1v60,8 +design__max_slew_violation__count__corner:max_ss_100C_1v60,3 +design__max_fanout_violation__count__corner:max_ss_100C_1v60,16 design__max_cap_violation__count__corner:max_ss_100C_1v60,0 -clock__skew__worst_hold__corner:max_ss_100C_1v60,0.072531 -clock__skew__worst_setup__corner:max_ss_100C_1v60,0.072531 -timing__hold__ws__corner:max_ss_100C_1v60,0.876164 -timing__setup__ws__corner:max_ss_100C_1v60,15.307306 +clock__skew__worst_hold__corner:max_ss_100C_1v60,0.155293 +clock__skew__worst_setup__corner:max_ss_100C_1v60,0.155293 +timing__hold__ws__corner:max_ss_100C_1v60,0.890032 +timing__setup__ws__corner:max_ss_100C_1v60,3.626768 timing__hold__tns__corner:max_ss_100C_1v60,0.0 timing__setup__tns__corner:max_ss_100C_1v60,0.0 timing__hold__wns__corner:max_ss_100C_1v60,0.0 timing__setup__wns__corner:max_ss_100C_1v60,0.0 timing__hold_vio__count__corner:max_ss_100C_1v60,0 -timing__hold_r2r__ws__corner:max_ss_100C_1v60,0.876164 +timing__hold_r2r__ws__corner:max_ss_100C_1v60,0.890032 timing__hold_r2r_vio__count__corner:max_ss_100C_1v60,0 timing__setup_vio__count__corner:max_ss_100C_1v60,0 -timing__setup_r2r__ws__corner:max_ss_100C_1v60,15.307306 +timing__setup_r2r__ws__corner:max_ss_100C_1v60,3.626768 timing__setup_r2r_vio__count__corner:max_ss_100C_1v60,0 timing__unannotated_net__count__corner:max_ss_100C_1v60,17 timing__unannotated_net_filtered__count__corner:max_ss_100C_1v60,0 design__max_slew_violation__count__corner:max_ff_n40C_1v95,0 -design__max_fanout_violation__count__corner:max_ff_n40C_1v95,8 +design__max_fanout_violation__count__corner:max_ff_n40C_1v95,16 design__max_cap_violation__count__corner:max_ff_n40C_1v95,0 -clock__skew__worst_hold__corner:max_ff_n40C_1v95,0.037323 -clock__skew__worst_setup__corner:max_ff_n40C_1v95,0.037323 -timing__hold__ws__corner:max_ff_n40C_1v95,0.116092 -timing__setup__ws__corner:max_ff_n40C_1v95,20.103188 +clock__skew__worst_hold__corner:max_ff_n40C_1v95,0.077159 +clock__skew__worst_setup__corner:max_ff_n40C_1v95,0.077159 +timing__hold__ws__corner:max_ff_n40C_1v95,0.11357 +timing__setup__ws__corner:max_ff_n40C_1v95,6.055793 timing__hold__tns__corner:max_ff_n40C_1v95,0.0 timing__setup__tns__corner:max_ff_n40C_1v95,0.0 timing__hold__wns__corner:max_ff_n40C_1v95,0.0 timing__setup__wns__corner:max_ff_n40C_1v95,0.0 timing__hold_vio__count__corner:max_ff_n40C_1v95,0 -timing__hold_r2r__ws__corner:max_ff_n40C_1v95,0.116092 +timing__hold_r2r__ws__corner:max_ff_n40C_1v95,0.11357 timing__hold_r2r_vio__count__corner:max_ff_n40C_1v95,0 timing__setup_vio__count__corner:max_ff_n40C_1v95,0 -timing__setup_r2r__ws__corner:max_ff_n40C_1v95,28.481869 +timing__setup_r2r__ws__corner:max_ff_n40C_1v95,7.702527 timing__setup_r2r_vio__count__corner:max_ff_n40C_1v95,0 timing__unannotated_net__count__corner:max_ff_n40C_1v95,17 timing__unannotated_net_filtered__count__corner:max_ff_n40C_1v95,0 timing__unannotated_net__count,17 timing__unannotated_net_filtered__count,0 -design_powergrid__voltage__worst__net:VPWR__corner:nom_tt_025C_1v80,1.79997 -design_powergrid__drop__average__net:VPWR__corner:nom_tt_025C_1v80,1.8 -design_powergrid__drop__worst__net:VPWR__corner:nom_tt_025C_1v80,0.0000348634 -design_powergrid__voltage__worst__net:VGND__corner:nom_tt_025C_1v80,0.0000356819 -design_powergrid__drop__average__net:VGND__corner:nom_tt_025C_1v80,0.00000357584 -design_powergrid__drop__worst__net:VGND__corner:nom_tt_025C_1v80,0.0000356819 +design_powergrid__voltage__worst__net:VPWR__corner:nom_tt_025C_1v80,1.79986 +design_powergrid__drop__average__net:VPWR__corner:nom_tt_025C_1v80,1.79996 +design_powergrid__drop__worst__net:VPWR__corner:nom_tt_025C_1v80,0.000140407 +design_powergrid__voltage__worst__net:VGND__corner:nom_tt_025C_1v80,0.000129355 +design_powergrid__drop__average__net:VGND__corner:nom_tt_025C_1v80,0.0000397815 +design_powergrid__drop__worst__net:VGND__corner:nom_tt_025C_1v80,0.000129355 ir__voltage__worst,1.8000000000000000444089209850062616169452667236328125 -ir__drop__avg,0.0000034599999999999999069559379616389804823484155349433422088623046875 -ir__drop__worst,0.0000349000000000000012794452997066940724835149012506008148193359375 +ir__drop__avg,0.000040699999999999999958193164228958949024672619998455047607421875 +ir__drop__worst,0.0001399999999999999877355050248439738425076939165592193603515625 magic__drc_error__count,0 magic__illegal_overlap__count,0 design__lvs_device_difference__count,0 diff --git a/projects/tt_um_gfg_development_tinymandelbrot/stats/synthesis-stats.txt b/projects/tt_um_gfg_development_tinymandelbrot/stats/synthesis-stats.txt index 46dcb48..1652d54 100644 --- a/projects/tt_um_gfg_development_tinymandelbrot/stats/synthesis-stats.txt +++ b/projects/tt_um_gfg_development_tinymandelbrot/stats/synthesis-stats.txt @@ -1,71 +1,79 @@ -66. Printing statistics. +68. Printing statistics. === tt_um_gfg_development_tinymandelbrot === - Number of wires: 2645 - Number of wire bits: 2680 - Number of public wires: 162 - Number of public wire bits: 197 + Number of wires: 2045 + Number of wire bits: 2080 + Number of public wires: 317 + Number of public wire bits: 352 Number of memories: 0 Number of memory bits: 0 Number of processes: 0 - Number of cells: 2661 - sky130_fd_sc_hd__a211o_2 12 - sky130_fd_sc_hd__a211oi_2 9 - sky130_fd_sc_hd__a21bo_2 27 - sky130_fd_sc_hd__a21boi_2 12 - sky130_fd_sc_hd__a21o_2 126 - sky130_fd_sc_hd__a21oi_2 111 - sky130_fd_sc_hd__a221o_2 12 - sky130_fd_sc_hd__a221oi_2 1 - sky130_fd_sc_hd__a22o_2 73 - sky130_fd_sc_hd__a22oi_2 7 - sky130_fd_sc_hd__a2bb2o_2 8 - sky130_fd_sc_hd__a311o_2 7 - sky130_fd_sc_hd__a31o_2 55 - sky130_fd_sc_hd__a31oi_2 4 - sky130_fd_sc_hd__a32o_2 28 + Number of cells: 2061 + sky130_fd_sc_hd__a2111o_2 11 + sky130_fd_sc_hd__a211o_2 11 + sky130_fd_sc_hd__a211oi_2 3 + sky130_fd_sc_hd__a21bo_2 11 + sky130_fd_sc_hd__a21boi_2 3 + sky130_fd_sc_hd__a21o_2 70 + sky130_fd_sc_hd__a21oi_2 47 + sky130_fd_sc_hd__a221o_2 62 + sky130_fd_sc_hd__a22o_2 72 + sky130_fd_sc_hd__a22oi_2 1 + sky130_fd_sc_hd__a2bb2o_2 20 + sky130_fd_sc_hd__a311o_2 2 + sky130_fd_sc_hd__a311oi_2 2 + sky130_fd_sc_hd__a31o_2 41 + sky130_fd_sc_hd__a31oi_2 3 + sky130_fd_sc_hd__a32o_2 37 sky130_fd_sc_hd__a41o_2 2 - sky130_fd_sc_hd__and2_2 138 - sky130_fd_sc_hd__and2b_2 79 - sky130_fd_sc_hd__and3_2 85 - sky130_fd_sc_hd__and3b_2 13 - sky130_fd_sc_hd__and4_2 20 - sky130_fd_sc_hd__and4b_2 10 - sky130_fd_sc_hd__and4bb_2 7 + sky130_fd_sc_hd__and2_2 97 + sky130_fd_sc_hd__and2b_2 35 + sky130_fd_sc_hd__and3_2 93 + sky130_fd_sc_hd__and3b_2 14 + sky130_fd_sc_hd__and4_2 7 + sky130_fd_sc_hd__and4b_2 1 + sky130_fd_sc_hd__and4bb_2 1 sky130_fd_sc_hd__buf_2 12 - sky130_fd_sc_hd__dfxtp_2 155 - sky130_fd_sc_hd__inv_2 58 - sky130_fd_sc_hd__mux2_1 77 - sky130_fd_sc_hd__mux4_2 4 - sky130_fd_sc_hd__nand2_2 240 - sky130_fd_sc_hd__nand2b_2 50 - sky130_fd_sc_hd__nand3_2 55 - sky130_fd_sc_hd__nand4_2 18 - sky130_fd_sc_hd__nor2_2 253 - sky130_fd_sc_hd__nor3_2 26 + sky130_fd_sc_hd__dfrtp_2 129 + sky130_fd_sc_hd__dfstp_2 3 + sky130_fd_sc_hd__dfxtp_2 175 + sky130_fd_sc_hd__inv_2 68 + sky130_fd_sc_hd__mux2_1 192 + sky130_fd_sc_hd__nand2_2 165 + sky130_fd_sc_hd__nand2b_2 20 + sky130_fd_sc_hd__nand3_2 8 + sky130_fd_sc_hd__nand3b_2 2 + sky130_fd_sc_hd__nand4_2 2 + sky130_fd_sc_hd__nor2_2 137 + sky130_fd_sc_hd__nor3_2 7 sky130_fd_sc_hd__nor3b_2 2 - sky130_fd_sc_hd__nor4_2 2 - sky130_fd_sc_hd__o211a_2 17 - sky130_fd_sc_hd__o211ai_2 7 - sky130_fd_sc_hd__o21a_2 70 - sky130_fd_sc_hd__o21ai_2 81 - sky130_fd_sc_hd__o21ba_2 18 - sky130_fd_sc_hd__o21bai_2 9 - sky130_fd_sc_hd__o221a_2 3 - sky130_fd_sc_hd__o22a_2 12 - sky130_fd_sc_hd__o22ai_2 3 - sky130_fd_sc_hd__o2bb2a_2 12 - sky130_fd_sc_hd__o31a_2 4 - sky130_fd_sc_hd__o31ai_2 3 - sky130_fd_sc_hd__o32ai_2 2 - sky130_fd_sc_hd__or2_2 120 - sky130_fd_sc_hd__or3_2 35 - sky130_fd_sc_hd__or3b_2 7 - sky130_fd_sc_hd__or4_2 5 - sky130_fd_sc_hd__or4b_2 2 - sky130_fd_sc_hd__xnor2_2 307 - sky130_fd_sc_hd__xor2_2 146 + sky130_fd_sc_hd__nor4_2 1 + sky130_fd_sc_hd__o2111a_2 3 + sky130_fd_sc_hd__o2111ai_2 1 + sky130_fd_sc_hd__o211a_2 26 + sky130_fd_sc_hd__o211ai_2 1 + sky130_fd_sc_hd__o21a_2 41 + sky130_fd_sc_hd__o21ai_2 48 + sky130_fd_sc_hd__o21ba_2 11 + sky130_fd_sc_hd__o21bai_2 6 + sky130_fd_sc_hd__o221a_2 16 + sky130_fd_sc_hd__o221ai_2 2 + sky130_fd_sc_hd__o22a_2 9 + sky130_fd_sc_hd__o22ai_2 1 + sky130_fd_sc_hd__o2bb2a_2 3 + sky130_fd_sc_hd__o311a_2 4 + sky130_fd_sc_hd__o31a_2 12 + sky130_fd_sc_hd__o31ai_2 9 + sky130_fd_sc_hd__o32a_2 2 + sky130_fd_sc_hd__or2_2 109 + sky130_fd_sc_hd__or3_2 28 + sky130_fd_sc_hd__or3b_2 5 + sky130_fd_sc_hd__or4_2 7 + sky130_fd_sc_hd__or4b_2 1 + sky130_fd_sc_hd__or4bb_2 1 + sky130_fd_sc_hd__xnor2_2 89 + sky130_fd_sc_hd__xor2_2 57 - Chip area for module '\tt_um_gfg_development_tinymandelbrot': 27562.684800 + Chip area for module '\tt_um_gfg_development_tinymandelbrot': 23257.305600 diff --git a/projects/tt_um_gfg_development_tinymandelbrot/tt_um_gfg_development_tinymandelbrot.gds b/projects/tt_um_gfg_development_tinymandelbrot/tt_um_gfg_development_tinymandelbrot.gds index 1ed037b..a07e5c5 100644 Binary files a/projects/tt_um_gfg_development_tinymandelbrot/tt_um_gfg_development_tinymandelbrot.gds and b/projects/tt_um_gfg_development_tinymandelbrot/tt_um_gfg_development_tinymandelbrot.gds differ diff --git a/projects/tt_um_gfg_development_tinymandelbrot/tt_um_gfg_development_tinymandelbrot.lef b/projects/tt_um_gfg_development_tinymandelbrot/tt_um_gfg_development_tinymandelbrot.lef index becea95..4d74e78 100644 --- a/projects/tt_um_gfg_development_tinymandelbrot/tt_um_gfg_development_tinymandelbrot.lef +++ b/projects/tt_um_gfg_development_tinymandelbrot/tt_um_gfg_development_tinymandelbrot.lef @@ -68,7 +68,6 @@ MACRO tt_um_gfg_development_tinymandelbrot DIRECTION INPUT ; USE SIGNAL ; ANTENNAGATEAREA 0.196500 ; - ANTENNADIFFAREA 0.434700 ; PORT LAYER met4 ; RECT 141.070 224.760 141.370 225.760 ; @@ -78,7 +77,6 @@ MACRO tt_um_gfg_development_tinymandelbrot DIRECTION INPUT ; USE SIGNAL ; ANTENNAGATEAREA 0.196500 ; - ANTENNADIFFAREA 0.434700 ; PORT LAYER met4 ; RECT 138.310 224.760 138.610 225.760 ; @@ -88,7 +86,6 @@ MACRO tt_um_gfg_development_tinymandelbrot DIRECTION INPUT ; USE SIGNAL ; ANTENNAGATEAREA 0.196500 ; - ANTENNADIFFAREA 0.434700 ; PORT LAYER met4 ; RECT 135.550 224.760 135.850 225.760 ; @@ -106,7 +103,7 @@ MACRO tt_um_gfg_development_tinymandelbrot PIN ui_in[3] DIRECTION INPUT ; USE SIGNAL ; - ANTENNAGATEAREA 0.213000 ; + ANTENNAGATEAREA 0.159000 ; PORT LAYER met4 ; RECT 130.030 224.760 130.330 225.760 ; @@ -134,7 +131,6 @@ MACRO tt_um_gfg_development_tinymandelbrot DIRECTION INPUT ; USE SIGNAL ; ANTENNAGATEAREA 0.196500 ; - ANTENNADIFFAREA 0.434700 ; PORT LAYER met4 ; RECT 121.750 224.760 122.050 225.760 ; @@ -298,7 +294,7 @@ MACRO tt_um_gfg_development_tinymandelbrot PIN uio_out[1] DIRECTION OUTPUT ; USE SIGNAL ; - ANTENNAGATEAREA 0.373500 ; + ANTENNAGATEAREA 0.621000 ; ANTENNADIFFAREA 0.891000 ; PORT LAYER met4 ; @@ -328,7 +324,7 @@ MACRO tt_um_gfg_development_tinymandelbrot PIN uio_out[4] DIRECTION OUTPUT ; USE SIGNAL ; - ANTENNADIFFAREA 0.445500 ; + ANTENNADIFFAREA 0.795200 ; PORT LAYER met4 ; RECT 61.030 224.760 61.330 225.760 ; @@ -373,7 +369,7 @@ MACRO tt_um_gfg_development_tinymandelbrot PIN uo_out[1] DIRECTION OUTPUT ; USE SIGNAL ; - ANTENNADIFFAREA 0.445500 ; + ANTENNADIFFAREA 0.891000 ; PORT LAYER met4 ; RECT 91.390 224.760 91.690 225.760 ; @@ -382,7 +378,7 @@ MACRO tt_um_gfg_development_tinymandelbrot PIN uo_out[2] DIRECTION OUTPUT ; USE SIGNAL ; - ANTENNADIFFAREA 0.445500 ; + ANTENNADIFFAREA 0.891000 ; PORT LAYER met4 ; RECT 88.630 224.760 88.930 225.760 ; @@ -400,7 +396,7 @@ MACRO tt_um_gfg_development_tinymandelbrot PIN uo_out[4] DIRECTION OUTPUT ; USE SIGNAL ; - ANTENNADIFFAREA 0.445500 ; + ANTENNADIFFAREA 0.891000 ; PORT LAYER met4 ; RECT 83.110 224.760 83.410 225.760 ; @@ -409,7 +405,7 @@ MACRO tt_um_gfg_development_tinymandelbrot PIN uo_out[5] DIRECTION OUTPUT ; USE SIGNAL ; - ANTENNADIFFAREA 0.445500 ; + ANTENNADIFFAREA 0.891000 ; PORT LAYER met4 ; RECT 80.350 224.760 80.650 225.760 ; @@ -418,7 +414,7 @@ MACRO tt_um_gfg_development_tinymandelbrot PIN uo_out[6] DIRECTION OUTPUT ; USE SIGNAL ; - ANTENNADIFFAREA 0.643500 ; + ANTENNADIFFAREA 0.891000 ; PORT LAYER met4 ; RECT 77.590 224.760 77.890 225.760 ; @@ -427,7 +423,7 @@ MACRO tt_um_gfg_development_tinymandelbrot PIN uo_out[7] DIRECTION OUTPUT ; USE SIGNAL ; - ANTENNADIFFAREA 1.242000 ; + ANTENNADIFFAREA 1.288000 ; PORT LAYER met4 ; RECT 74.830 224.760 75.130 225.760 ; @@ -479,13 +475,12 @@ MACRO tt_um_gfg_development_tinymandelbrot LAYER li1 ; RECT 2.760 2.635 158.240 223.125 ; LAYER met1 ; - RECT 2.760 1.740 160.470 225.380 ; + RECT 2.760 2.480 158.240 223.280 ; LAYER met2 ; - RECT 3.780 1.710 160.440 225.410 ; + RECT 6.540 2.535 157.680 224.925 ; LAYER met3 ; - RECT 4.665 2.555 159.555 224.905 ; + RECT 14.785 2.555 156.795 224.905 ; LAYER met4 ; - RECT 24.215 224.360 30.270 224.905 ; RECT 31.370 224.360 33.030 224.905 ; RECT 34.130 224.360 35.790 224.905 ; RECT 36.890 224.360 38.550 224.905 ; @@ -529,14 +524,14 @@ MACRO tt_um_gfg_development_tinymandelbrot RECT 141.770 224.360 143.430 224.905 ; RECT 144.530 224.360 146.190 224.905 ; RECT 147.290 224.360 149.665 224.905 ; - RECT 24.215 223.680 149.665 224.360 ; - RECT 24.215 9.695 56.750 223.680 ; - RECT 59.150 9.695 60.050 223.680 ; - RECT 62.450 9.695 95.620 223.680 ; - RECT 98.020 9.695 98.920 223.680 ; - RECT 101.320 9.695 134.490 223.680 ; - RECT 136.890 9.695 137.790 223.680 ; - RECT 140.190 9.695 149.665 223.680 ; + RECT 30.655 223.680 149.665 224.360 ; + RECT 30.655 15.135 56.750 223.680 ; + RECT 59.150 15.135 60.050 223.680 ; + RECT 62.450 15.135 95.620 223.680 ; + RECT 98.020 15.135 98.920 223.680 ; + RECT 101.320 15.135 134.490 223.680 ; + RECT 136.890 15.135 137.790 223.680 ; + RECT 140.190 15.135 149.665 223.680 ; END END tt_um_gfg_development_tinymandelbrot END LIBRARY diff --git a/projects/tt_um_gfg_development_tinymandelbrot/tt_um_gfg_development_tinymandelbrot.v b/projects/tt_um_gfg_development_tinymandelbrot/tt_um_gfg_development_tinymandelbrot.v index 4e67b2f..e8f1019 100644 --- a/projects/tt_um_gfg_development_tinymandelbrot/tt_um_gfg_development_tinymandelbrot.v +++ b/projects/tt_um_gfg_development_tinymandelbrot/tt_um_gfg_development_tinymandelbrot.v @@ -38,7 +38,7 @@ module tt_um_gfg_development_tinymandelbrot (clk, wire _0016_; wire _0017_; wire _0018_; - wire _0019_; + wire clknet_leaf_0_clk; wire _0020_; wire _0021_; wire _0022_; @@ -1747,761 +1747,6 @@ module tt_um_gfg_development_tinymandelbrot (clk, wire _1725_; wire _1726_; wire _1727_; - wire _1728_; - wire _1729_; - wire _1730_; - wire _1731_; - wire _1732_; - wire _1733_; - wire _1734_; - wire _1735_; - wire _1736_; - wire _1737_; - wire _1738_; - wire _1739_; - wire _1740_; - wire _1741_; - wire _1742_; - wire _1743_; - wire _1744_; - wire _1745_; - wire _1746_; - wire _1747_; - wire _1748_; - wire _1749_; - wire _1750_; - wire _1751_; - wire _1752_; - wire _1753_; - wire _1754_; - wire _1755_; - wire _1756_; - wire _1757_; - wire _1758_; - wire _1759_; - wire _1760_; - wire _1761_; - wire _1762_; - wire _1763_; - wire _1764_; - wire _1765_; - wire _1766_; - wire _1767_; - wire _1768_; - wire _1769_; - wire _1770_; - wire _1771_; - wire _1772_; - wire _1773_; - wire _1774_; - wire _1775_; - wire _1776_; - wire _1777_; - wire _1778_; - wire _1779_; - wire _1780_; - wire _1781_; - wire _1782_; - wire _1783_; - wire _1784_; - wire _1785_; - wire _1786_; - wire _1787_; - wire _1788_; - wire _1789_; - wire _1790_; - wire _1791_; - wire _1792_; - wire _1793_; - wire _1794_; - wire _1795_; - wire _1796_; - wire _1797_; - wire _1798_; - wire _1799_; - wire _1800_; - wire _1801_; - wire _1802_; - wire _1803_; - wire _1804_; - wire _1805_; - wire _1806_; - wire _1807_; - wire _1808_; - wire _1809_; - wire _1810_; - wire _1811_; - wire _1812_; - wire _1813_; - wire _1814_; - wire _1815_; - wire _1816_; - wire _1817_; - wire _1818_; - wire _1819_; - wire _1820_; - wire _1821_; - wire _1822_; - wire _1823_; - wire _1824_; - wire _1825_; - wire _1826_; - wire _1827_; - wire _1828_; - wire _1829_; - wire _1830_; - wire _1831_; - wire _1832_; - wire _1833_; - wire _1834_; - wire _1835_; - wire _1836_; - wire _1837_; - wire _1838_; - wire _1839_; - wire _1840_; - wire _1841_; - wire _1842_; - wire _1843_; - wire _1844_; - wire _1845_; - wire _1846_; - wire _1847_; - wire _1848_; - wire _1849_; - wire _1850_; - wire _1851_; - wire _1852_; - wire _1853_; - wire _1854_; - wire _1855_; - wire _1856_; - wire _1857_; - wire _1858_; - wire _1859_; - wire _1860_; - wire _1861_; - wire _1862_; - wire _1863_; - wire _1864_; - wire _1865_; - wire _1866_; - wire _1867_; - wire _1868_; - wire _1869_; - wire _1870_; - wire _1871_; - wire _1872_; - wire _1873_; - wire _1874_; - wire _1875_; - wire _1876_; - wire _1877_; - wire _1878_; - wire _1879_; - wire _1880_; - wire _1881_; - wire _1882_; - wire _1883_; - wire _1884_; - wire _1885_; - wire _1886_; - wire _1887_; - wire _1888_; - wire _1889_; - wire _1890_; - wire _1891_; - wire _1892_; - wire _1893_; - wire _1894_; - wire _1895_; - wire _1896_; - wire _1897_; - wire _1898_; - wire _1899_; - wire _1900_; - wire _1901_; - wire _1902_; - wire _1903_; - wire _1904_; - wire _1905_; - wire _1906_; - wire _1907_; - wire _1908_; - wire _1909_; - wire _1910_; - wire _1911_; - wire _1912_; - wire _1913_; - wire _1914_; - wire _1915_; - wire _1916_; - wire _1917_; - wire _1918_; - wire _1919_; - wire _1920_; - wire _1921_; - wire _1922_; - wire _1923_; - wire _1924_; - wire _1925_; - wire _1926_; - wire _1927_; - wire _1928_; - wire _1929_; - wire _1930_; - wire _1931_; - wire _1932_; - wire _1933_; - wire _1934_; - wire _1935_; - wire _1936_; - wire _1937_; - wire _1938_; - wire _1939_; - wire _1940_; - wire _1941_; - wire _1942_; - wire _1943_; - wire _1944_; - wire _1945_; - wire _1946_; - wire _1947_; - wire _1948_; - wire _1949_; - wire _1950_; - wire _1951_; - wire _1952_; - wire _1953_; - wire _1954_; - wire _1955_; - wire _1956_; - wire _1957_; - wire _1958_; - wire _1959_; - wire _1960_; - wire _1961_; - wire _1962_; - wire _1963_; - wire _1964_; - wire _1965_; - wire _1966_; - wire _1967_; - wire _1968_; - wire _1969_; - wire _1970_; - wire _1971_; - wire _1972_; - wire _1973_; - wire _1974_; - wire _1975_; - wire _1976_; - wire _1977_; - wire _1978_; - wire _1979_; - wire _1980_; - wire _1981_; - wire _1982_; - wire _1983_; - wire _1984_; - wire _1985_; - wire _1986_; - wire _1987_; - wire _1988_; - wire _1989_; - wire _1990_; - wire _1991_; - wire _1992_; - wire _1993_; - wire _1994_; - wire _1995_; - wire _1996_; - wire _1997_; - wire _1998_; - wire _1999_; - wire _2000_; - wire _2001_; - wire _2002_; - wire _2003_; - wire _2004_; - wire _2005_; - wire _2006_; - wire _2007_; - wire _2008_; - wire _2009_; - wire _2010_; - wire _2011_; - wire _2012_; - wire _2013_; - wire _2014_; - wire _2015_; - wire _2016_; - wire _2017_; - wire _2018_; - wire _2019_; - wire _2020_; - wire _2021_; - wire _2022_; - wire _2023_; - wire _2024_; - wire _2025_; - wire _2026_; - wire _2027_; - wire _2028_; - wire _2029_; - wire _2030_; - wire _2031_; - wire _2032_; - wire _2033_; - wire _2034_; - wire _2035_; - wire _2036_; - wire _2037_; - wire _2038_; - wire _2039_; - wire _2040_; - wire _2041_; - wire _2042_; - wire _2043_; - wire _2044_; - wire _2045_; - wire _2046_; - wire _2047_; - wire _2048_; - wire _2049_; - wire _2050_; - wire _2051_; - wire _2052_; - wire _2053_; - wire _2054_; - wire _2055_; - wire _2056_; - wire _2057_; - wire _2058_; - wire _2059_; - wire _2060_; - wire _2061_; - wire _2062_; - wire _2063_; - wire _2064_; - wire _2065_; - wire _2066_; - wire _2067_; - wire _2068_; - wire _2069_; - wire _2070_; - wire _2071_; - wire _2072_; - wire _2073_; - wire _2074_; - wire _2075_; - wire _2076_; - wire _2077_; - wire _2078_; - wire _2079_; - wire _2080_; - wire _2081_; - wire _2082_; - wire _2083_; - wire _2084_; - wire _2085_; - wire _2086_; - wire _2087_; - wire _2088_; - wire _2089_; - wire _2090_; - wire _2091_; - wire _2092_; - wire _2093_; - wire _2094_; - wire _2095_; - wire _2096_; - wire _2097_; - wire _2098_; - wire _2099_; - wire _2100_; - wire _2101_; - wire _2102_; - wire _2103_; - wire _2104_; - wire _2105_; - wire _2106_; - wire _2107_; - wire _2108_; - wire _2109_; - wire _2110_; - wire _2111_; - wire _2112_; - wire _2113_; - wire _2114_; - wire _2115_; - wire _2116_; - wire _2117_; - wire _2118_; - wire _2119_; - wire _2120_; - wire _2121_; - wire _2122_; - wire _2123_; - wire _2124_; - wire _2125_; - wire _2126_; - wire _2127_; - wire _2128_; - wire _2129_; - wire _2130_; - wire _2131_; - wire _2132_; - wire _2133_; - wire _2134_; - wire _2135_; - wire _2136_; - wire _2137_; - wire _2138_; - wire _2139_; - wire _2140_; - wire _2141_; - wire _2142_; - wire _2143_; - wire _2144_; - wire _2145_; - wire _2146_; - wire _2147_; - wire _2148_; - wire _2149_; - wire _2150_; - wire _2151_; - wire _2152_; - wire _2153_; - wire _2154_; - wire _2155_; - wire _2156_; - wire _2157_; - wire _2158_; - wire _2159_; - wire _2160_; - wire _2161_; - wire _2162_; - wire _2163_; - wire _2164_; - wire _2165_; - wire _2166_; - wire _2167_; - wire _2168_; - wire _2169_; - wire _2170_; - wire _2171_; - wire _2172_; - wire _2173_; - wire _2174_; - wire _2175_; - wire _2176_; - wire _2177_; - wire _2178_; - wire _2179_; - wire _2180_; - wire _2181_; - wire _2182_; - wire _2183_; - wire _2184_; - wire _2185_; - wire _2186_; - wire _2187_; - wire _2188_; - wire _2189_; - wire _2190_; - wire _2191_; - wire _2192_; - wire _2193_; - wire _2194_; - wire _2195_; - wire _2196_; - wire _2197_; - wire _2198_; - wire _2199_; - wire _2200_; - wire _2201_; - wire _2202_; - wire _2203_; - wire _2204_; - wire _2205_; - wire _2206_; - wire _2207_; - wire _2208_; - wire _2209_; - wire _2210_; - wire _2211_; - wire _2212_; - wire _2213_; - wire _2214_; - wire _2215_; - wire _2216_; - wire _2217_; - wire _2218_; - wire _2219_; - wire _2220_; - wire _2221_; - wire _2222_; - wire _2223_; - wire _2224_; - wire _2225_; - wire _2226_; - wire _2227_; - wire _2228_; - wire _2229_; - wire _2230_; - wire _2231_; - wire _2232_; - wire _2233_; - wire _2234_; - wire _2235_; - wire _2236_; - wire _2237_; - wire _2238_; - wire _2239_; - wire _2240_; - wire _2241_; - wire _2242_; - wire _2243_; - wire _2244_; - wire _2245_; - wire _2246_; - wire _2247_; - wire _2248_; - wire _2249_; - wire _2250_; - wire _2251_; - wire _2252_; - wire _2253_; - wire _2254_; - wire _2255_; - wire _2256_; - wire _2257_; - wire _2258_; - wire _2259_; - wire _2260_; - wire _2261_; - wire _2262_; - wire _2263_; - wire _2264_; - wire _2265_; - wire _2266_; - wire _2267_; - wire _2268_; - wire _2269_; - wire _2270_; - wire _2271_; - wire _2272_; - wire _2273_; - wire _2274_; - wire _2275_; - wire _2276_; - wire _2277_; - wire _2278_; - wire _2279_; - wire _2280_; - wire _2281_; - wire _2282_; - wire _2283_; - wire _2284_; - wire _2285_; - wire _2286_; - wire _2287_; - wire _2288_; - wire _2289_; - wire _2290_; - wire _2291_; - wire _2292_; - wire _2293_; - wire _2294_; - wire _2295_; - wire _2296_; - wire _2297_; - wire _2298_; - wire _2299_; - wire _2300_; - wire _2301_; - wire _2302_; - wire _2303_; - wire _2304_; - wire _2305_; - wire _2306_; - wire _2307_; - wire _2308_; - wire _2309_; - wire _2310_; - wire _2311_; - wire _2312_; - wire _2313_; - wire _2314_; - wire _2315_; - wire _2316_; - wire _2317_; - wire _2318_; - wire _2319_; - wire _2320_; - wire _2321_; - wire _2322_; - wire _2323_; - wire _2324_; - wire _2325_; - wire _2326_; - wire _2327_; - wire _2328_; - wire _2329_; - wire _2330_; - wire _2331_; - wire _2332_; - wire _2333_; - wire _2334_; - wire _2335_; - wire _2336_; - wire _2337_; - wire _2338_; - wire _2339_; - wire _2340_; - wire _2341_; - wire _2342_; - wire _2343_; - wire _2344_; - wire _2345_; - wire _2346_; - wire _2347_; - wire _2348_; - wire _2349_; - wire _2350_; - wire _2351_; - wire _2352_; - wire _2353_; - wire _2354_; - wire _2355_; - wire _2356_; - wire _2357_; - wire _2358_; - wire _2359_; - wire _2360_; - wire _2361_; - wire _2362_; - wire _2363_; - wire _2364_; - wire _2365_; - wire _2366_; - wire _2367_; - wire _2368_; - wire _2369_; - wire _2370_; - wire _2371_; - wire _2372_; - wire _2373_; - wire _2374_; - wire _2375_; - wire _2376_; - wire _2377_; - wire _2378_; - wire _2379_; - wire _2380_; - wire _2381_; - wire _2382_; - wire _2383_; - wire _2384_; - wire _2385_; - wire _2386_; - wire _2387_; - wire _2388_; - wire _2389_; - wire _2390_; - wire _2391_; - wire _2392_; - wire _2393_; - wire _2394_; - wire _2395_; - wire _2396_; - wire _2397_; - wire _2398_; - wire _2399_; - wire _2400_; - wire _2401_; - wire _2402_; - wire _2403_; - wire _2404_; - wire _2405_; - wire _2406_; - wire _2407_; - wire _2408_; - wire _2409_; - wire _2410_; - wire _2411_; - wire _2412_; - wire _2413_; - wire _2414_; - wire _2415_; - wire _2416_; - wire _2417_; - wire _2418_; - wire _2419_; - wire _2420_; - wire _2421_; - wire _2422_; - wire _2423_; - wire _2424_; - wire _2425_; - wire _2426_; - wire _2427_; - wire _2428_; - wire _2429_; - wire _2430_; - wire _2431_; - wire _2432_; - wire _2433_; - wire _2434_; - wire _2435_; - wire _2436_; - wire _2437_; - wire _2438_; - wire _2439_; - wire _2440_; - wire _2441_; - wire _2442_; - wire _2443_; - wire _2444_; - wire _2445_; - wire _2446_; - wire _2447_; - wire _2448_; - wire _2449_; - wire _2450_; - wire _2451_; - wire _2452_; - wire _2453_; - wire _2454_; - wire _2455_; - wire _2456_; - wire _2457_; - wire _2458_; - wire _2459_; - wire _2460_; - wire _2461_; - wire _2462_; - wire _2463_; - wire _2464_; - wire _2465_; - wire _2466_; - wire _2467_; - wire _2468_; - wire _2469_; - wire _2470_; - wire _2471_; - wire _2472_; - wire _2473_; - wire _2474_; - wire _2475_; - wire _2476_; - wire _2477_; - wire _2478_; - wire _2479_; - wire _2480_; - wire _2481_; - wire _2482_; wire l_running; wire \l_sclk[0] ; wire \l_sclk[1] ; @@ -2512,8 +1757,16 @@ module tt_um_gfg_development_tinymandelbrot (clk, wire \l_sen[0] ; wire \l_sen[1] ; wire \l_sen[2] ; + wire latched_rst_n; + wire \mandelbrot.alu.finished ; + wire \mandelbrot.alu.first_iteration ; wire \mandelbrot.alu.in_ci[0] ; wire \mandelbrot.alu.in_ci[10] ; + wire \mandelbrot.alu.in_ci[11] ; + wire \mandelbrot.alu.in_ci[12] ; + wire \mandelbrot.alu.in_ci[13] ; + wire \mandelbrot.alu.in_ci[14] ; + wire \mandelbrot.alu.in_ci[15] ; wire \mandelbrot.alu.in_ci[1] ; wire \mandelbrot.alu.in_ci[2] ; wire \mandelbrot.alu.in_ci[3] ; @@ -2525,6 +1778,11 @@ module tt_um_gfg_development_tinymandelbrot (clk, wire \mandelbrot.alu.in_ci[9] ; wire \mandelbrot.alu.in_cr[0] ; wire \mandelbrot.alu.in_cr[10] ; + wire \mandelbrot.alu.in_cr[11] ; + wire \mandelbrot.alu.in_cr[12] ; + wire \mandelbrot.alu.in_cr[13] ; + wire \mandelbrot.alu.in_cr[14] ; + wire \mandelbrot.alu.in_cr[15] ; wire \mandelbrot.alu.in_cr[1] ; wire \mandelbrot.alu.in_cr[2] ; wire \mandelbrot.alu.in_cr[3] ; @@ -2536,6 +1794,11 @@ module tt_um_gfg_development_tinymandelbrot (clk, wire \mandelbrot.alu.in_cr[9] ; wire \mandelbrot.alu.in_zi[0] ; wire \mandelbrot.alu.in_zi[10] ; + wire \mandelbrot.alu.in_zi[11] ; + wire \mandelbrot.alu.in_zi[12] ; + wire \mandelbrot.alu.in_zi[13] ; + wire \mandelbrot.alu.in_zi[14] ; + wire \mandelbrot.alu.in_zi[15] ; wire \mandelbrot.alu.in_zi[1] ; wire \mandelbrot.alu.in_zi[2] ; wire \mandelbrot.alu.in_zi[3] ; @@ -2547,6 +1810,11 @@ module tt_um_gfg_development_tinymandelbrot (clk, wire \mandelbrot.alu.in_zi[9] ; wire \mandelbrot.alu.in_zr[0] ; wire \mandelbrot.alu.in_zr[10] ; + wire \mandelbrot.alu.in_zr[11] ; + wire \mandelbrot.alu.in_zr[12] ; + wire \mandelbrot.alu.in_zr[13] ; + wire \mandelbrot.alu.in_zr[14] ; + wire \mandelbrot.alu.in_zr[15] ; wire \mandelbrot.alu.in_zr[1] ; wire \mandelbrot.alu.in_zr[2] ; wire \mandelbrot.alu.in_zr[3] ; @@ -2556,8 +1824,125 @@ module tt_um_gfg_development_tinymandelbrot (clk, wire \mandelbrot.alu.in_zr[7] ; wire \mandelbrot.alu.in_zr[8] ; wire \mandelbrot.alu.in_zr[9] ; + wire \mandelbrot.alu.m1[0] ; + wire \mandelbrot.alu.m1[10] ; + wire \mandelbrot.alu.m1[11] ; + wire \mandelbrot.alu.m1[12] ; + wire \mandelbrot.alu.m1[13] ; + wire \mandelbrot.alu.m1[14] ; + wire \mandelbrot.alu.m1[15] ; + wire \mandelbrot.alu.m1[16] ; + wire \mandelbrot.alu.m1[17] ; + wire \mandelbrot.alu.m1[18] ; + wire \mandelbrot.alu.m1[19] ; + wire \mandelbrot.alu.m1[1] ; + wire \mandelbrot.alu.m1[20] ; + wire \mandelbrot.alu.m1[21] ; + wire \mandelbrot.alu.m1[22] ; + wire \mandelbrot.alu.m1[23] ; + wire \mandelbrot.alu.m1[24] ; + wire \mandelbrot.alu.m1[25] ; + wire \mandelbrot.alu.m1[26] ; + wire \mandelbrot.alu.m1[27] ; + wire \mandelbrot.alu.m1[28] ; + wire \mandelbrot.alu.m1[29] ; + wire \mandelbrot.alu.m1[2] ; + wire \mandelbrot.alu.m1[30] ; + wire \mandelbrot.alu.m1[31] ; + wire \mandelbrot.alu.m1[3] ; + wire \mandelbrot.alu.m1[4] ; + wire \mandelbrot.alu.m1[5] ; + wire \mandelbrot.alu.m1[6] ; + wire \mandelbrot.alu.m1[7] ; + wire \mandelbrot.alu.m1[8] ; + wire \mandelbrot.alu.m1[9] ; + wire \mandelbrot.alu.m2[0] ; + wire \mandelbrot.alu.m2[10] ; + wire \mandelbrot.alu.m2[11] ; + wire \mandelbrot.alu.m2[12] ; + wire \mandelbrot.alu.m2[13] ; + wire \mandelbrot.alu.m2[14] ; + wire \mandelbrot.alu.m2[15] ; + wire \mandelbrot.alu.m2[16] ; + wire \mandelbrot.alu.m2[17] ; + wire \mandelbrot.alu.m2[18] ; + wire \mandelbrot.alu.m2[19] ; + wire \mandelbrot.alu.m2[1] ; + wire \mandelbrot.alu.m2[20] ; + wire \mandelbrot.alu.m2[21] ; + wire \mandelbrot.alu.m2[22] ; + wire \mandelbrot.alu.m2[23] ; + wire \mandelbrot.alu.m2[24] ; + wire \mandelbrot.alu.m2[25] ; + wire \mandelbrot.alu.m2[26] ; + wire \mandelbrot.alu.m2[27] ; + wire \mandelbrot.alu.m2[28] ; + wire \mandelbrot.alu.m2[29] ; + wire \mandelbrot.alu.m2[2] ; + wire \mandelbrot.alu.m2[30] ; + wire \mandelbrot.alu.m2[31] ; + wire \mandelbrot.alu.m2[3] ; + wire \mandelbrot.alu.m2[4] ; + wire \mandelbrot.alu.m2[5] ; + wire \mandelbrot.alu.m2[6] ; + wire \mandelbrot.alu.m2[7] ; + wire \mandelbrot.alu.m2[8] ; + wire \mandelbrot.alu.m2[9] ; + wire \mandelbrot.alu.m3[0] ; + wire \mandelbrot.alu.m3[10] ; + wire \mandelbrot.alu.m3[11] ; + wire \mandelbrot.alu.m3[12] ; + wire \mandelbrot.alu.m3[13] ; + wire \mandelbrot.alu.m3[14] ; + wire \mandelbrot.alu.m3[15] ; + wire \mandelbrot.alu.m3[16] ; + wire \mandelbrot.alu.m3[17] ; + wire \mandelbrot.alu.m3[18] ; + wire \mandelbrot.alu.m3[19] ; + wire \mandelbrot.alu.m3[1] ; + wire \mandelbrot.alu.m3[20] ; + wire \mandelbrot.alu.m3[21] ; + wire \mandelbrot.alu.m3[22] ; + wire \mandelbrot.alu.m3[23] ; + wire \mandelbrot.alu.m3[24] ; + wire \mandelbrot.alu.m3[25] ; + wire \mandelbrot.alu.m3[26] ; + wire \mandelbrot.alu.m3[27] ; + wire \mandelbrot.alu.m3[28] ; + wire \mandelbrot.alu.m3[29] ; + wire \mandelbrot.alu.m3[2] ; + wire \mandelbrot.alu.m3[30] ; + wire \mandelbrot.alu.m3[31] ; + wire \mandelbrot.alu.m3[3] ; + wire \mandelbrot.alu.m3[4] ; + wire \mandelbrot.alu.m3[5] ; + wire \mandelbrot.alu.m3[6] ; + wire \mandelbrot.alu.m3[7] ; + wire \mandelbrot.alu.m3[8] ; + wire \mandelbrot.alu.m3[9] ; + wire \mandelbrot.alu.mult_zi_zi.ctr[0] ; + wire \mandelbrot.alu.mult_zi_zi.ctr[1] ; + wire \mandelbrot.alu.mult_zi_zi.ctr[2] ; + wire \mandelbrot.alu.mult_zi_zi.rst_n ; + wire \mandelbrot.alu.mult_zi_zi.running ; + wire \mandelbrot.alu.mult_zi_zi.shift_reg[0] ; + wire \mandelbrot.alu.mult_zr_zi.ctr[0] ; + wire \mandelbrot.alu.mult_zr_zi.ctr[1] ; + wire \mandelbrot.alu.mult_zr_zi.ctr[2] ; + wire \mandelbrot.alu.mult_zr_zi.running ; + wire \mandelbrot.alu.mult_zr_zi.shift_reg[0] ; + wire \mandelbrot.alu.mult_zr_zr.ctr[0] ; + wire \mandelbrot.alu.mult_zr_zr.ctr[1] ; + wire \mandelbrot.alu.mult_zr_zr.ctr[2] ; + wire \mandelbrot.alu.mult_zr_zr.running ; + wire \mandelbrot.alu.mult_zr_zr.shift_reg[0] ; wire \mandelbrot.ci_offset[0] ; wire \mandelbrot.ci_offset[10] ; + wire \mandelbrot.ci_offset[11] ; + wire \mandelbrot.ci_offset[12] ; + wire \mandelbrot.ci_offset[13] ; + wire \mandelbrot.ci_offset[14] ; + wire \mandelbrot.ci_offset[15] ; wire \mandelbrot.ci_offset[1] ; wire \mandelbrot.ci_offset[2] ; wire \mandelbrot.ci_offset[3] ; @@ -2569,6 +1954,11 @@ module tt_um_gfg_development_tinymandelbrot (clk, wire \mandelbrot.ci_offset[9] ; wire \mandelbrot.cr_offset[0] ; wire \mandelbrot.cr_offset[10] ; + wire \mandelbrot.cr_offset[11] ; + wire \mandelbrot.cr_offset[12] ; + wire \mandelbrot.cr_offset[13] ; + wire \mandelbrot.cr_offset[14] ; + wire \mandelbrot.cr_offset[15] ; wire \mandelbrot.cr_offset[1] ; wire \mandelbrot.cr_offset[2] ; wire \mandelbrot.cr_offset[3] ; @@ -2585,9 +1975,14 @@ module tt_um_gfg_development_tinymandelbrot (clk, wire \mandelbrot.ctr[4] ; wire \mandelbrot.ctr[5] ; wire \mandelbrot.ctr[6] ; + wire \mandelbrot.ctr[7] ; + wire \mandelbrot.ctr[8] ; + wire \mandelbrot.ctr[9] ; wire \mandelbrot.ctr_select[0] ; wire \mandelbrot.ctr_select[1] ; + wire \mandelbrot.ctr_select[2] ; wire \mandelbrot.finished ; + wire \mandelbrot.l_alu_finished ; wire \mandelbrot.max_ctr[0] ; wire \mandelbrot.max_ctr[1] ; wire \mandelbrot.max_ctr[2] ; @@ -2595,12 +1990,19 @@ module tt_um_gfg_development_tinymandelbrot (clk, wire \mandelbrot.max_ctr[4] ; wire \mandelbrot.max_ctr[5] ; wire \mandelbrot.max_ctr[6] ; + wire \mandelbrot.max_ctr[7] ; + wire \mandelbrot.max_ctr[8] ; + wire \mandelbrot.max_ctr[9] ; wire \mandelbrot.overflowed ; wire \mandelbrot.run ; wire \mandelbrot.running ; wire \mandelbrot.scaling[0] ; wire \mandelbrot.scaling[1] ; - wire \mandelbrot.stopped ; + wire \mandelbrot.scaling[2] ; + wire \mandelbrot.scaling[3] ; + wire \mandelbrot.scaling[4] ; + wire \mandelbrot.scaling[5] ; + wire \mandelbrot.scaling[6] ; wire \mandelbrot.x[0] ; wire \mandelbrot.x[1] ; wire \mandelbrot.x[2] ; @@ -2618,30 +2020,19 @@ module tt_um_gfg_development_tinymandelbrot (clk, wire \mandelbrot.y[5] ; wire \mandelbrot.y[6] ; wire \mandelbrot.y[7] ; - wire clknet_0_clk; + wire \mandelbrot.y[8] ; + wire net142; wire \state[0] ; wire \state[1] ; wire \state[2] ; wire \state[3] ; - wire \vga.h_sync ; wire \vga.l_read ; - wire \vga.line_ctr[0] ; - wire \vga.line_ctr[1] ; - wire \vga.line_ctr[2] ; - wire \vga.line_ctr[3] ; - wire \vga.line_ctr[4] ; - wire \vga.line_ctr[5] ; - wire \vga.line_ctr[6] ; - wire \vga.line_ctr[7] ; - wire \vga.line_ctr[8] ; - wire \vga.line_ctr[9] ; - wire \vga.line_reset ; - wire \vga.new_line ; wire \vga.pixel_buffer[0] ; wire \vga.pixel_buffer[1] ; wire \vga.pixel_buffer[2] ; wire \vga.pixel_buffer[3] ; wire \vga.pixel_ctr[0] ; + wire \vga.pixel_ctr[10] ; wire \vga.pixel_ctr[1] ; wire \vga.pixel_ctr[2] ; wire \vga.pixel_ctr[3] ; @@ -2654,7 +2045,16 @@ module tt_um_gfg_development_tinymandelbrot (clk, wire \vga.read ; wire \vga.reset_read_ptr ; wire \vga.reset_write_ptr ; - wire \vga.row_reset ; + wire \vga.timing_v.pixel[0] ; + wire \vga.timing_v.pixel[1] ; + wire \vga.timing_v.pixel[2] ; + wire \vga.timing_v.pixel[3] ; + wire \vga.timing_v.pixel[4] ; + wire \vga.timing_v.pixel[5] ; + wire \vga.timing_v.pixel[6] ; + wire \vga.timing_v.pixel[7] ; + wire \vga.timing_v.pixel[8] ; + wire \vga.timing_v.pixel[9] ; wire \vga.write_data ; wire \vga.wrote_data ; wire net1; @@ -2798,7 +2198,33 @@ module tt_um_gfg_development_tinymandelbrot (clk, wire net139; wire net140; wire net141; - wire net142; + wire clknet_leaf_1_clk; + wire clknet_leaf_2_clk; + wire clknet_leaf_3_clk; + wire clknet_leaf_4_clk; + wire clknet_leaf_5_clk; + wire clknet_leaf_6_clk; + wire clknet_leaf_7_clk; + wire clknet_leaf_8_clk; + wire clknet_leaf_9_clk; + wire clknet_leaf_10_clk; + wire clknet_leaf_11_clk; + wire clknet_leaf_12_clk; + wire clknet_leaf_13_clk; + wire clknet_leaf_14_clk; + wire clknet_leaf_15_clk; + wire clknet_leaf_16_clk; + wire clknet_leaf_17_clk; + wire clknet_leaf_18_clk; + wire clknet_leaf_19_clk; + wire clknet_leaf_20_clk; + wire clknet_leaf_21_clk; + wire clknet_leaf_22_clk; + wire clknet_leaf_23_clk; + wire clknet_leaf_24_clk; + wire clknet_0_clk; + wire clknet_1_0__leaf_clk; + wire clknet_1_1__leaf_clk; wire net143; wire net144; wire net145; @@ -2821,22 +2247,6 @@ module tt_um_gfg_development_tinymandelbrot (clk, wire net162; wire net163; wire net164; - wire clknet_4_0_0_clk; - wire clknet_4_1_0_clk; - wire clknet_4_2_0_clk; - wire clknet_4_3_0_clk; - wire clknet_4_4_0_clk; - wire clknet_4_5_0_clk; - wire clknet_4_6_0_clk; - wire clknet_4_7_0_clk; - wire clknet_4_8_0_clk; - wire clknet_4_9_0_clk; - wire clknet_4_10_0_clk; - wire clknet_4_11_0_clk; - wire clknet_4_12_0_clk; - wire clknet_4_13_0_clk; - wire clknet_4_14_0_clk; - wire clknet_4_15_0_clk; wire net165; wire net166; wire net167; @@ -2941,20174 +2351,16116 @@ module tt_um_gfg_development_tinymandelbrot (clk, wire net266; wire net267; wire net268; + wire net269; + wire net270; + wire net271; + wire net272; + wire net273; + wire net274; + wire net275; + wire net276; + wire net277; + wire net278; + wire net279; + wire net280; + wire net281; + wire net282; + wire net283; + wire net284; + wire net285; + wire net286; + wire net287; + wire net288; + wire net289; + wire net290; + wire net291; + wire net292; + wire net293; + wire net294; + wire net295; + wire net296; + wire net297; + wire net298; + wire net299; + wire net300; + wire net301; + wire net302; + wire net303; + wire net304; + wire net305; + wire net306; + wire net307; + wire net308; + wire net309; + wire net310; + wire net311; + wire net312; + wire net313; + wire net314; + wire net315; + wire net316; + wire net317; - sky130_fd_sc_hd__inv_2 _2483_ (.A(\vga.line_ctr[5] ), + sky130_fd_sc_hd__inv_2 _1728_ (.A(net107), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1811_)); - sky130_fd_sc_hd__inv_2 _2484_ (.A(\vga.reset_read_ptr ), + .Y(\mandelbrot.running )); + sky130_fd_sc_hd__inv_2 _1729_ (.A(net129), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1812_)); - sky130_fd_sc_hd__inv_2 _2485_ (.A(net98), + .Y(\mandelbrot.alu.finished )); + sky130_fd_sc_hd__inv_2 _1730_ (.A(net112), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(\mandelbrot.running )); - sky130_fd_sc_hd__inv_2 _2486_ (.A(net49), + .Y(_1396_)); + sky130_fd_sc_hd__inv_2 _1731_ (.A(\mandelbrot.ctr[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1813_)); - sky130_fd_sc_hd__inv_2 _2487_ (.A(net54), + .Y(_1397_)); + sky130_fd_sc_hd__inv_2 _1732_ (.A(net288), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1814_)); - sky130_fd_sc_hd__inv_2 _2488_ (.A(net92), + .Y(_1398_)); + sky130_fd_sc_hd__inv_2 _1733_ (.A(\state[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1815_)); - sky130_fd_sc_hd__inv_2 _2489_ (.A(net57), + .Y(_1399_)); + sky130_fd_sc_hd__inv_2 _1734_ (.A(net281), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1816_)); - sky130_fd_sc_hd__inv_2 _2490_ (.A(net84), + .Y(_1400_)); + sky130_fd_sc_hd__inv_2 _1735_ (.A(net246), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1817_)); - sky130_fd_sc_hd__inv_2 _2491_ (.A(net62), + .Y(_1401_)); + sky130_fd_sc_hd__inv_2 _1736_ (.A(\mandelbrot.alu.m2[28] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1818_)); - sky130_fd_sc_hd__inv_2 _2492_ (.A(net66), + .Y(_1402_)); + sky130_fd_sc_hd__inv_2 _1737_ (.A(\mandelbrot.alu.m2[26] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1819_)); - sky130_fd_sc_hd__inv_2 _2493_ (.A(net71), + .Y(_1403_)); + sky130_fd_sc_hd__inv_2 _1738_ (.A(\mandelbrot.alu.m1[26] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1820_)); - sky130_fd_sc_hd__inv_2 _2494_ (.A(net81), + .Y(_1404_)); + sky130_fd_sc_hd__inv_2 _1739_ (.A(\mandelbrot.alu.m2[25] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1821_)); - sky130_fd_sc_hd__inv_2 _2495_ (.A(net100), + .Y(_1405_)); + sky130_fd_sc_hd__inv_2 _1740_ (.A(\mandelbrot.alu.m1[21] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1822_)); - sky130_fd_sc_hd__inv_2 _2496_ (.A(net129), + .Y(_1406_)); + sky130_fd_sc_hd__inv_2 _1741_ (.A(\mandelbrot.alu.m2[17] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1823_)); - sky130_fd_sc_hd__inv_2 _2497_ (.A(net121), + .Y(_1407_)); + sky130_fd_sc_hd__inv_2 _1742_ (.A(\mandelbrot.alu.m2[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1824_)); - sky130_fd_sc_hd__inv_2 _2498_ (.A(net111), + .Y(_1408_)); + sky130_fd_sc_hd__inv_2 _1743_ (.A(\mandelbrot.alu.m1[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1825_)); - sky130_fd_sc_hd__inv_2 _2499_ (.A(net104), + .Y(_1409_)); + sky130_fd_sc_hd__inv_2 _1744_ (.A(\mandelbrot.alu.m1[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1826_)); - sky130_fd_sc_hd__inv_2 _2500_ (.A(\mandelbrot.max_ctr[3] ), + .Y(_1410_)); + sky130_fd_sc_hd__inv_2 _1745_ (.A(\mandelbrot.alu.m1[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1827_)); - sky130_fd_sc_hd__inv_2 _2501_ (.A(\mandelbrot.ctr[4] ), + .Y(_1411_)); + sky130_fd_sc_hd__inv_2 _1746_ (.A(\mandelbrot.alu.m1[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1828_)); - sky130_fd_sc_hd__inv_2 _2502_ (.A(\mandelbrot.ctr[5] ), + .Y(_1412_)); + sky130_fd_sc_hd__inv_2 _1747_ (.A(\mandelbrot.alu.m1[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1829_)); - sky130_fd_sc_hd__inv_2 _2503_ (.A(\vga.pixel_ctr[8] ), + .Y(_1413_)); + sky130_fd_sc_hd__inv_2 _1748_ (.A(\mandelbrot.max_ctr[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1830_)); - sky130_fd_sc_hd__inv_2 _2504_ (.A(\vga.pixel_ctr[7] ), + .Y(_1414_)); + sky130_fd_sc_hd__inv_2 _1749_ (.A(\mandelbrot.ctr[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1831_)); - sky130_fd_sc_hd__inv_2 _2505_ (.A(\l_sen[2] ), + .Y(_1415_)); + sky130_fd_sc_hd__inv_2 _1750_ (.A(\mandelbrot.max_ctr[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1832_)); - sky130_fd_sc_hd__inv_2 _2506_ (.A(\mandelbrot.alu.in_ci[2] ), + .Y(_1416_)); + sky130_fd_sc_hd__inv_2 _1751_ (.A(\mandelbrot.ctr[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1833_)); - sky130_fd_sc_hd__inv_2 _2507_ (.A(\vga.wrote_data ), + .Y(_1417_)); + sky130_fd_sc_hd__inv_2 _1752_ (.A(\mandelbrot.ctr[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1834_)); - sky130_fd_sc_hd__inv_2 _2508_ (.A(net156), + .Y(_1418_)); + sky130_fd_sc_hd__inv_2 _1753_ (.A(\mandelbrot.run ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1835_)); - sky130_fd_sc_hd__and2_1 _2509_ (.A(\vga.pixel_ctr[3] ), - .B(\vga.pixel_ctr[2] ), + .Y(_1419_)); + sky130_fd_sc_hd__inv_2 _1754_ (.A(\vga.pixel_ctr[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1836_)); - sky130_fd_sc_hd__and3_1 _2510_ (.A(\vga.pixel_ctr[1] ), - .B(\vga.pixel_ctr[4] ), - .C(_1836_), + .Y(_1420_)); + sky130_fd_sc_hd__inv_2 _1755_ (.A(\mandelbrot.alu.in_ci[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1837_)); - sky130_fd_sc_hd__a311o_1 _2511_ (.A1(\vga.pixel_ctr[6] ), - .A2(\vga.pixel_ctr[5] ), - .A3(_1837_), - .B1(\vga.pixel_ctr[7] ), - .C1(\vga.pixel_ctr[8] ), + .Y(_1421_)); + sky130_fd_sc_hd__inv_2 _1756_ (.A(net178), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1838_)); - sky130_fd_sc_hd__nand2_1 _2512_ (.A(\vga.pixel_ctr[9] ), - .B(_1838_), + .Y(_1422_)); + sky130_fd_sc_hd__inv_2 _1757_ (.A(\vga.timing_v.pixel[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1839_)); - sky130_fd_sc_hd__or2_1 _2513_ (.A(\vga.pixel_ctr[6] ), - .B(\vga.pixel_ctr[5] ), + .Y(_1423_)); + sky130_fd_sc_hd__inv_2 _1758_ (.A(net5), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1840_)); - sky130_fd_sc_hd__nor2_1 _2514_ (.A(\vga.pixel_ctr[7] ), - .B(_1840_), + .Y(_1424_)); + sky130_fd_sc_hd__inv_2 _1759_ (.A(\mandelbrot.alu.in_zi[15] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1841_)); - sky130_fd_sc_hd__a31o_1 _2515_ (.A1(\vga.pixel_ctr[8] ), - .A2(_1837_), - .A3(_1841_), - .B1(_1839_), + .Y(_1425_)); + sky130_fd_sc_hd__clkbuf_8 clkbuf_leaf_0_clk (.A(clknet_1_0__leaf_clk), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1842_)); - sky130_fd_sc_hd__nor3b_1 _2516_ (.A(net251), - .B(net233), - .C_N(_1842_), + .X(clknet_leaf_0_clk)); + sky130_fd_sc_hd__and2_1 _1761_ (.A(net108), + .B(l_running), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(\vga.read )); - sky130_fd_sc_hd__nor3_2 _2517_ (.A(\vga.row_reset ), - .B(\vga.line_reset ), - .C(net5), + .X(\vga.write_data )); + sky130_fd_sc_hd__nand2b_1 _1762_ (.A_N(\l_sen[1] ), + .B(\l_sen[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1843_)); - sky130_fd_sc_hd__a22o_2 _2518_ (.A1(uio_out[0]), - .A2(net5), - .B1(_1843_), - .B2(\vga.pixel_buffer[3] ), + .Y(_1426_)); + sky130_fd_sc_hd__a32o_1 _1763_ (.A1(\mandelbrot.finished ), + .A2(\state[3] ), + .A3(\vga.write_data ), + .B1(_1426_), + .B2(net227), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(uo_out[0])); - sky130_fd_sc_hd__a22o_2 _2519_ (.A1(net5), - .A2(uio_out[1]), - .B1(_1843_), - .B2(\vga.pixel_buffer[2] ), + .X(_0001_)); + sky130_fd_sc_hd__nand2_4 _1764_ (.A(net1), + .B(latched_rst_n), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(uo_out[1])); - sky130_fd_sc_hd__a22o_2 _2520_ (.A1(net5), - .A2(uio_out[2]), - .B1(_1843_), - .B2(\vga.pixel_buffer[1] ), + .Y(_1427_)); + sky130_fd_sc_hd__inv_2 _1765_ (.A(_1427_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(uo_out[2])); - sky130_fd_sc_hd__mux2_4 _2521_ (.A0(_1812_), - .A1(uio_out[3]), - .S(net5), + .Y(\mandelbrot.alu.mult_zi_zi.rst_n )); + sky130_fd_sc_hd__nor2_1 _1766_ (.A(net99), + .B(\mandelbrot.finished ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(uo_out[3])); - sky130_fd_sc_hd__a22o_2 _2522_ (.A1(net47), - .A2(net5), - .B1(\vga.pixel_buffer[2] ), - .B2(_1843_), + .Y(_1428_)); + sky130_fd_sc_hd__a32o_1 _1767_ (.A1(\state[3] ), + .A2(l_running), + .A3(_1428_), + .B1(\state[1] ), + .B2(_1422_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(uo_out[4])); - sky130_fd_sc_hd__a22o_2 _2523_ (.A1(\mandelbrot.finished ), - .A2(net5), - .B1(\vga.pixel_buffer[1] ), - .B2(_1843_), + .X(_0002_)); + sky130_fd_sc_hd__a21o_1 _1768_ (.A1(net200), + .A2(net178), + .B1(net148), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(uo_out[5])); - sky130_fd_sc_hd__and2_2 _2524_ (.A(\vga.pixel_buffer[0] ), - .B(_1843_), + .X(_1727_)); + sky130_fd_sc_hd__o21bai_1 _1769_ (.A1(_1399_), + .A2(\vga.write_data ), + .B1_N(_1727_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(uo_out[6])); - sky130_fd_sc_hd__nor2_4 _2525_ (.A(\vga.h_sync ), - .B(net5), + .Y(_0003_)); + sky130_fd_sc_hd__or3_1 _1770_ (.A(\vga.timing_v.pixel[8] ), + .B(\vga.timing_v.pixel[7] ), + .C(\vga.timing_v.pixel[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(uo_out[7])); - sky130_fd_sc_hd__and4b_1 _2526_ (.A_N(\l_sen[1] ), - .B(net232), - .C(net156), - .D(\l_sen[2] ), + .X(_1429_)); + sky130_fd_sc_hd__or3_1 _1771_ (.A(\vga.timing_v.pixel[9] ), + .B(\vga.timing_v.pixel[6] ), + .C(_1429_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0000_)); - sky130_fd_sc_hd__nand2_1 _2527_ (.A(net98), - .B(net214), + .X(_1430_)); + sky130_fd_sc_hd__nor2_1 _1772_ (.A(\vga.timing_v.pixel[4] ), + .B(\vga.timing_v.pixel[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1844_)); - sky130_fd_sc_hd__inv_2 _2528_ (.A(_1844_), + .Y(_1431_)); + sky130_fd_sc_hd__a21o_1 _1773_ (.A1(_1423_), + .A2(\vga.timing_v.pixel[0] ), + .B1(\vga.timing_v.pixel[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(\vga.write_data )); - sky130_fd_sc_hd__and2_1 _2529_ (.A(net171), - .B(net155), + .X(_1432_)); + sky130_fd_sc_hd__a21oi_1 _1774_ (.A1(\vga.timing_v.pixel[2] ), + .A2(_1432_), + .B1(_1430_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0141_)); - sky130_fd_sc_hd__a31o_1 _2530_ (.A1(net210), - .A2(\vga.wrote_data ), - .A3(net155), - .B1(_0141_), + .Y(_1433_)); + sky130_fd_sc_hd__o211a_1 _1775_ (.A1(\vga.timing_v.pixel[2] ), + .A2(_1432_), + .B1(_1433_), + .C1(_1431_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0142_)); - sky130_fd_sc_hd__a31o_1 _2531_ (.A1(net156), - .A2(net226), - .A3(_1844_), - .B1(_0142_), + .X(\vga.reset_read_ptr )); + sky130_fd_sc_hd__and3_1 _1776_ (.A(\vga.timing_v.pixel[4] ), + .B(\vga.timing_v.pixel[3] ), + .C(\vga.timing_v.pixel[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0003_)); - sky130_fd_sc_hd__nor2_1 _2532_ (.A(net47), - .B(\mandelbrot.finished ), + .X(_1434_)); + sky130_fd_sc_hd__or3_1 _1777_ (.A(\vga.pixel_ctr[9] ), + .B(\vga.pixel_ctr[8] ), + .C(\vga.pixel_ctr[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1845_)); - sky130_fd_sc_hd__or2_1 _2533_ (.A(net47), - .B(\mandelbrot.finished ), + .X(_1435_)); + sky130_fd_sc_hd__o21a_2 _1778_ (.A1(_1430_), + .A2(_1434_), + .B1(_1435_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1846_)); - sky130_fd_sc_hd__a32o_1 _2534_ (.A1(l_running), - .A2(\state[3] ), - .A3(net29), - .B1(_1834_), - .B2(net210), + .X(_1436_)); + sky130_fd_sc_hd__nand2_1 _1779_ (.A(\vga.pixel_buffer[1] ), + .B(_1436_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1847_)); - sky130_fd_sc_hd__and2_1 _2535_ (.A(net155), - .B(_1847_), + .Y(_1437_)); + sky130_fd_sc_hd__and2_1 _1780_ (.A(\vga.pixel_buffer[2] ), + .B(_1436_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0002_)); - sky130_fd_sc_hd__o21a_1 _2536_ (.A1(_1832_), - .A2(\l_sen[1] ), - .B1(net232), + .X(_1438_)); + sky130_fd_sc_hd__nand2_2 _1781_ (.A(\vga.pixel_buffer[2] ), + .B(_1436_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1848_)); - sky130_fd_sc_hd__a311o_1 _2537_ (.A1(\mandelbrot.finished ), - .A2(net226), - .A3(\vga.write_data ), - .B1(_1848_), - .C1(_1835_), + .Y(_1439_)); + sky130_fd_sc_hd__and2_1 _1782_ (.A(\vga.pixel_buffer[0] ), + .B(_1436_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0001_)); - sky130_fd_sc_hd__o21ai_1 _2538_ (.A1(net94), - .A2(net90), - .B1(net51), + .X(_1440_)); + sky130_fd_sc_hd__o21ai_1 _1783_ (.A1(\vga.pixel_buffer[0] ), + .A2(\vga.pixel_buffer[1] ), + .B1(_1436_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1849_)); - sky130_fd_sc_hd__and2_2 _2539_ (.A(net77), - .B(net81), + .Y(_1441_)); + sky130_fd_sc_hd__mux2_1 _1784_ (.A0(\vga.pixel_buffer[1] ), + .A1(_1441_), + .S(_1439_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1850_)); - sky130_fd_sc_hd__nand2_2 _2540_ (.A(net79), - .B(net82), + .X(_1442_)); + sky130_fd_sc_hd__a21oi_1 _1785_ (.A1(\vga.pixel_buffer[1] ), + .A2(_1440_), + .B1(_1438_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1851_)); - sky130_fd_sc_hd__nor2_1 _2541_ (.A(net77), - .B(net81), + .Y(_1443_)); + sky130_fd_sc_hd__and2_1 _1786_ (.A(\vga.pixel_buffer[3] ), + .B(_1436_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1852_)); - sky130_fd_sc_hd__nor2_1 _2542_ (.A(_1850_), - .B(_1852_), + .X(_1444_)); + sky130_fd_sc_hd__nand2_1 _1787_ (.A(\vga.pixel_buffer[3] ), + .B(_1436_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1853_)); - sky130_fd_sc_hd__nand2_1 _2543_ (.A(net51), - .B(net84), + .Y(_1445_)); + sky130_fd_sc_hd__nand2_1 _1788_ (.A(_1443_), + .B(_1445_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1854_)); - sky130_fd_sc_hd__and3_1 _2544_ (.A(net49), - .B(net84), - .C(_1853_), + .Y(_1446_)); + sky130_fd_sc_hd__o31a_1 _1789_ (.A1(_1442_), + .A2(_1443_), + .A3(_1445_), + .B1(_1424_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1855_)); - sky130_fd_sc_hd__o21ai_1 _2545_ (.A1(net84), - .A2(_1853_), - .B1(net49), + .X(_1447_)); + sky130_fd_sc_hd__a22o_2 _1790_ (.A1(uio_out[0]), + .A2(net5), + .B1(_1446_), + .B2(_1447_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1856_)); - sky130_fd_sc_hd__nor2_4 _2546_ (.A(_1855_), - .B(_1856_), + .X(uo_out[0])); + sky130_fd_sc_hd__nor2_1 _1791_ (.A(\vga.pixel_buffer[0] ), + .B(_1439_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1857_)); - sky130_fd_sc_hd__and2_2 _2547_ (.A(net62), - .B(net66), + .Y(_1448_)); + sky130_fd_sc_hd__or3_1 _1792_ (.A(\vga.pixel_buffer[0] ), + .B(\vga.pixel_buffer[1] ), + .C(_1439_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1858_)); - sky130_fd_sc_hd__nand2_4 _2548_ (.A(net62), - .B(net66), + .X(_1449_)); + sky130_fd_sc_hd__nor2_1 _1793_ (.A(net5), + .B(_1444_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1859_)); - sky130_fd_sc_hd__nand2_1 _2549_ (.A(net53), - .B(net62), + .Y(_1450_)); + sky130_fd_sc_hd__o2bb2a_4 _1794_ (.A1_N(_1449_), + .A2_N(_1450_), + .B1(_1424_), + .B2(uio_out[1]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1860_)); - sky130_fd_sc_hd__nand2_2 _2550_ (.A(net54), - .B(net66), + .X(uo_out[1])); + sky130_fd_sc_hd__a2bb2o_1 _1795_ (.A1_N(_1442_), + .A2_N(_1444_), + .B1(\vga.pixel_buffer[3] ), + .B2(_1438_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1861_)); - sky130_fd_sc_hd__and3_1 _2551_ (.A(net48), - .B(net53), - .C(_1858_), + .X(_1451_)); + sky130_fd_sc_hd__mux2_4 _1796_ (.A0(uio_out[2]), + .A1(_1451_), + .S(_1424_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1862_)); - sky130_fd_sc_hd__nand2_1 _2552_ (.A(net49), - .B(net71), + .X(uo_out[2])); + sky130_fd_sc_hd__mux2_4 _1797_ (.A0(uio_out[3]), + .A1(\vga.reset_read_ptr ), + .S(_1424_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1863_)); - sky130_fd_sc_hd__o21a_1 _2553_ (.A1(net44), - .A2(_1819_), - .B1(_1860_), + .X(uo_out[3])); + sky130_fd_sc_hd__or2_1 _1798_ (.A(\vga.pixel_buffer[0] ), + .B(\vga.pixel_buffer[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1864_)); - sky130_fd_sc_hd__nor2_1 _2554_ (.A(_1862_), - .B(_1864_), + .X(_1452_)); + sky130_fd_sc_hd__o221a_1 _1799_ (.A1(\vga.pixel_buffer[1] ), + .A2(_1445_), + .B1(_1452_), + .B2(_1437_), + .C1(_1424_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1865_)); - sky130_fd_sc_hd__a31o_1 _2555_ (.A1(net48), - .A2(net71), - .A3(_1865_), - .B1(_1862_), + .X(_1453_)); + sky130_fd_sc_hd__o2bb2a_4 _1800_ (.A1_N(_1439_), + .A2_N(_1453_), + .B1(net99), + .B2(_1424_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1866_)); - sky130_fd_sc_hd__a21o_1 _2556_ (.A1(net49), - .A2(_1850_), - .B1(_1855_), + .X(uo_out[4])); + sky130_fd_sc_hd__a31o_1 _1801_ (.A1(\vga.pixel_buffer[0] ), + .A2(\vga.pixel_buffer[1] ), + .A3(_1438_), + .B1(_1444_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1867_)); - sky130_fd_sc_hd__xor2_1 _2557_ (.A(_1857_), - .B(_1866_), + .X(_1454_)); + sky130_fd_sc_hd__a21oi_1 _1802_ (.A1(_1439_), + .A2(_1441_), + .B1(net5), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1868_)); - sky130_fd_sc_hd__and2_1 _2558_ (.A(_1867_), - .B(_1868_), + .Y(_1455_)); + sky130_fd_sc_hd__a22o_4 _1803_ (.A1(\mandelbrot.finished ), + .A2(net5), + .B1(_1454_), + .B2(_1455_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1869_)); - sky130_fd_sc_hd__a21oi_1 _2559_ (.A1(_1857_), - .A2(_1866_), - .B1(_1869_), + .X(uo_out[5])); + sky130_fd_sc_hd__o21ai_1 _1804_ (.A1(_1437_), + .A2(_1448_), + .B1(_1449_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1870_)); - sky130_fd_sc_hd__nor2_1 _2560_ (.A(net40), - .B(_1870_), + .Y(_1456_)); + sky130_fd_sc_hd__a21oi_1 _1805_ (.A1(\vga.pixel_buffer[1] ), + .A2(_1439_), + .B1(_1440_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1871_)); - sky130_fd_sc_hd__or2_1 _2561_ (.A(net62), - .B(net66), + .Y(_1457_)); + sky130_fd_sc_hd__a311o_1 _1806_ (.A1(\vga.pixel_buffer[0] ), + .A2(\vga.pixel_buffer[1] ), + .A3(_1439_), + .B1(_1445_), + .C1(net5), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1872_)); - sky130_fd_sc_hd__and3b_1 _2562_ (.A_N(_1863_), - .B(_1872_), - .C(_1859_), + .X(_1458_)); + sky130_fd_sc_hd__a2bb2o_4 _1807_ (.A1_N(_1457_), + .A2_N(_1458_), + .B1(_1450_), + .B2(_1456_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1873_)); - sky130_fd_sc_hd__a21o_1 _2563_ (.A1(net48), - .A2(_1858_), - .B1(_1873_), + .X(uo_out[6])); + sky130_fd_sc_hd__o21a_1 _1808_ (.A1(\vga.pixel_ctr[4] ), + .A2(\vga.pixel_ctr[3] ), + .B1(\vga.pixel_ctr[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1874_)); - sky130_fd_sc_hd__nand2_1 _2564_ (.A(_1857_), - .B(_1874_), + .X(_1459_)); + sky130_fd_sc_hd__nor3_1 _1809_ (.A(\vga.pixel_ctr[7] ), + .B(\vga.pixel_ctr[6] ), + .C(_1459_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1875_)); - sky130_fd_sc_hd__xor2_1 _2565_ (.A(_1857_), - .B(_1874_), + .Y(_1460_)); + sky130_fd_sc_hd__a2111o_1 _1810_ (.A1(\vga.pixel_ctr[7] ), + .A2(\vga.pixel_ctr[6] ), + .B1(net5), + .C1(_1435_), + .D1(_1460_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1876_)); - sky130_fd_sc_hd__nand2_1 _2566_ (.A(_1867_), - .B(_1876_), + .X(_1461_)); + sky130_fd_sc_hd__a21oi_4 _1811_ (.A1(\vga.pixel_ctr[7] ), + .A2(_1459_), + .B1(_1461_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1877_)); - sky130_fd_sc_hd__or2_1 _2567_ (.A(_1867_), - .B(_1876_), + .Y(uo_out[7])); + sky130_fd_sc_hd__nand2_1 _1812_ (.A(\mandelbrot.alu.m2[22] ), + .B(\mandelbrot.alu.m1[22] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1878_)); - sky130_fd_sc_hd__nand2_1 _2568_ (.A(_1877_), - .B(_1878_), + .Y(_1462_)); + sky130_fd_sc_hd__o211a_1 _1813_ (.A1(\mandelbrot.alu.m2[23] ), + .A2(\mandelbrot.alu.m1[23] ), + .B1(\mandelbrot.alu.m2[22] ), + .C1(\mandelbrot.alu.m1[22] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1879_)); - sky130_fd_sc_hd__a21oi_1 _2569_ (.A1(_1859_), - .A2(_1872_), - .B1(net71), + .X(_1463_)); + sky130_fd_sc_hd__a21oi_1 _1814_ (.A1(\mandelbrot.alu.m2[23] ), + .A2(\mandelbrot.alu.m1[23] ), + .B1(_1463_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1880_)); - sky130_fd_sc_hd__or3_2 _2570_ (.A(net45), - .B(_1873_), - .C(_1880_), + .Y(_1464_)); + sky130_fd_sc_hd__xnor2_4 _1815_ (.A(\mandelbrot.alu.m2[23] ), + .B(\mandelbrot.alu.m1[23] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1881_)); - sky130_fd_sc_hd__inv_2 _2571_ (.A(_1881_), + .Y(_1465_)); + sky130_fd_sc_hd__or2_1 _1816_ (.A(\mandelbrot.alu.m2[22] ), + .B(\mandelbrot.alu.m1[22] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1882_)); - sky130_fd_sc_hd__nand2_1 _2572_ (.A(net53), - .B(_1816_), + .X(_1466_)); + sky130_fd_sc_hd__nand2_1 _1817_ (.A(_1462_), + .B(_1466_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1883_)); - sky130_fd_sc_hd__xnor2_1 _2573_ (.A(net44), - .B(_1883_), + .Y(_1467_)); + sky130_fd_sc_hd__or2_1 _1818_ (.A(_1465_), + .B(_1467_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1884_)); - sky130_fd_sc_hd__xnor2_1 _2574_ (.A(_1881_), - .B(_1884_), + .X(_1468_)); + sky130_fd_sc_hd__nand2_1 _1819_ (.A(\mandelbrot.alu.m2[20] ), + .B(\mandelbrot.alu.m1[20] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1885_)); - sky130_fd_sc_hd__nor3_1 _2575_ (.A(net44), - .B(net66), - .C(_1860_), + .Y(_1469_)); + sky130_fd_sc_hd__or2_1 _1820_ (.A(\mandelbrot.alu.m2[20] ), + .B(\mandelbrot.alu.m1[20] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1886_)); - sky130_fd_sc_hd__o21ai_1 _2576_ (.A1(net44), - .A2(net66), - .B1(_1860_), + .X(_1470_)); + sky130_fd_sc_hd__and2_1 _1821_ (.A(_1469_), + .B(_1470_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1887_)); - sky130_fd_sc_hd__and2b_1 _2577_ (.A_N(_1886_), - .B(_1887_), + .X(_1471_)); + sky130_fd_sc_hd__nand2_1 _1822_ (.A(_1469_), + .B(_1470_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1888_)); - sky130_fd_sc_hd__and4_1 _2578_ (.A(net48), - .B(net57), - .C(_1818_), - .D(_1819_), + .Y(_1472_)); + sky130_fd_sc_hd__and2_1 _1823_ (.A(\mandelbrot.alu.m2[19] ), + .B(\mandelbrot.alu.m1[19] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1889_)); - sky130_fd_sc_hd__a221oi_2 _2579_ (.A1(net48), - .A2(_1818_), - .B1(_1887_), - .B2(net57), - .C1(_1886_), + .X(_1473_)); + sky130_fd_sc_hd__or2_1 _1824_ (.A(\mandelbrot.alu.m2[19] ), + .B(\mandelbrot.alu.m1[19] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1890_)); - sky130_fd_sc_hd__nor3_1 _2580_ (.A(_1881_), - .B(_1889_), - .C(_1890_), + .X(_1474_)); + sky130_fd_sc_hd__and2_1 _1825_ (.A(\mandelbrot.alu.m2[18] ), + .B(\mandelbrot.alu.m1[18] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1891_)); - sky130_fd_sc_hd__nor2_1 _2581_ (.A(_1889_), - .B(_1891_), + .X(_1475_)); + sky130_fd_sc_hd__a21o_1 _1826_ (.A1(_1474_), + .A2(_1475_), + .B1(_1473_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1892_)); - sky130_fd_sc_hd__nor2_1 _2582_ (.A(_1885_), - .B(_1892_), + .X(_1476_)); + sky130_fd_sc_hd__or2_1 _1827_ (.A(\mandelbrot.alu.m2[17] ), + .B(net102), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1893_)); - sky130_fd_sc_hd__xnor2_1 _2583_ (.A(_1885_), - .B(_1892_), + .X(_1477_)); + sky130_fd_sc_hd__nand2_1 _1828_ (.A(\mandelbrot.alu.m2[16] ), + .B(\mandelbrot.alu.m1[16] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1894_)); - sky130_fd_sc_hd__nor2_1 _2584_ (.A(_1879_), - .B(_1894_), + .Y(_1478_)); + sky130_fd_sc_hd__or2_1 _1829_ (.A(\mandelbrot.alu.m2[16] ), + .B(\mandelbrot.alu.m1[16] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1895_)); - sky130_fd_sc_hd__and2_1 _2585_ (.A(_1879_), - .B(_1894_), + .X(_1479_)); + sky130_fd_sc_hd__and2_2 _1830_ (.A(_1478_), + .B(_1479_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1896_)); - sky130_fd_sc_hd__or2_1 _2586_ (.A(_1895_), - .B(_1896_), + .X(_1480_)); + sky130_fd_sc_hd__inv_2 _1831_ (.A(_1480_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1897_)); - sky130_fd_sc_hd__o21a_1 _2587_ (.A1(_1889_), - .A2(_1890_), - .B1(_1881_), + .Y(_1481_)); + sky130_fd_sc_hd__or2_1 _1832_ (.A(\mandelbrot.alu.m2[15] ), + .B(\mandelbrot.alu.m1[15] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1898_)); - sky130_fd_sc_hd__nor2_1 _2588_ (.A(_1891_), - .B(_1898_), + .X(_1482_)); + sky130_fd_sc_hd__and2_1 _1833_ (.A(\mandelbrot.alu.m2[14] ), + .B(\mandelbrot.alu.m1[14] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1899_)); - sky130_fd_sc_hd__xnor2_1 _2589_ (.A(net57), - .B(_1888_), + .X(_1483_)); + sky130_fd_sc_hd__nor2_1 _1834_ (.A(\mandelbrot.alu.m2[14] ), + .B(\mandelbrot.alu.m1[14] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1900_)); - sky130_fd_sc_hd__nor3_1 _2590_ (.A(net45), - .B(net71), - .C(_1861_), + .Y(_1484_)); + sky130_fd_sc_hd__nor2_2 _1835_ (.A(_1483_), + .B(_1484_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1901_)); - sky130_fd_sc_hd__nand2_4 _2591_ (.A(net58), - .B(net62), + .Y(_1485_)); + sky130_fd_sc_hd__or2_1 _1836_ (.A(\mandelbrot.alu.m2[13] ), + .B(\mandelbrot.alu.m1[13] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1902_)); - sky130_fd_sc_hd__o21a_1 _2592_ (.A1(net45), - .A2(net71), - .B1(_1861_), + .X(_1486_)); + sky130_fd_sc_hd__xor2_1 _1837_ (.A(\mandelbrot.alu.m2[12] ), + .B(\mandelbrot.alu.m1[12] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1903_)); - sky130_fd_sc_hd__nor2_1 _2593_ (.A(_1901_), - .B(_1903_), + .X(_1487_)); + sky130_fd_sc_hd__xor2_1 _1838_ (.A(\mandelbrot.alu.m2[8] ), + .B(\mandelbrot.alu.m1[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1904_)); - sky130_fd_sc_hd__o21ba_1 _2594_ (.A1(_1902_), - .A2(_1903_), - .B1_N(_1901_), + .X(_1488_)); + sky130_fd_sc_hd__a22o_1 _1839_ (.A1(net132), + .A2(net103), + .B1(\mandelbrot.alu.m2[0] ), + .B2(\mandelbrot.alu.m1[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1905_)); - sky130_fd_sc_hd__nor2_1 _2595_ (.A(_1900_), - .B(_1905_), + .X(_1489_)); + sky130_fd_sc_hd__o22a_1 _1840_ (.A1(\mandelbrot.alu.m2[2] ), + .A2(\mandelbrot.alu.m1[2] ), + .B1(net132), + .B2(net103), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1906_)); - sky130_fd_sc_hd__xor2_1 _2596_ (.A(_1863_), - .B(_1865_), + .X(_1490_)); + sky130_fd_sc_hd__a22o_1 _1841_ (.A1(\mandelbrot.alu.m2[3] ), + .A2(\mandelbrot.alu.m1[3] ), + .B1(\mandelbrot.alu.m2[2] ), + .B2(\mandelbrot.alu.m1[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1907_)); - sky130_fd_sc_hd__xnor2_1 _2597_ (.A(_1900_), - .B(_1905_), + .X(_1491_)); + sky130_fd_sc_hd__a21o_1 _1842_ (.A1(_1489_), + .A2(_1490_), + .B1(_1491_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1908_)); - sky130_fd_sc_hd__nor2_1 _2598_ (.A(_1907_), - .B(_1908_), + .X(_1492_)); + sky130_fd_sc_hd__nor2_1 _1843_ (.A(\mandelbrot.alu.m2[4] ), + .B(\mandelbrot.alu.m1[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1909_)); - sky130_fd_sc_hd__o21a_1 _2599_ (.A1(_1906_), - .A2(_1909_), - .B1(_1899_), + .Y(_1493_)); + sky130_fd_sc_hd__o22a_1 _1844_ (.A1(\mandelbrot.alu.m2[4] ), + .A2(\mandelbrot.alu.m1[4] ), + .B1(\mandelbrot.alu.m2[3] ), + .B2(\mandelbrot.alu.m1[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1910_)); - sky130_fd_sc_hd__nor2_1 _2600_ (.A(_1867_), - .B(_1868_), + .X(_1494_)); + sky130_fd_sc_hd__and2_1 _1845_ (.A(\mandelbrot.alu.m2[4] ), + .B(\mandelbrot.alu.m1[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1911_)); - sky130_fd_sc_hd__nor2_1 _2601_ (.A(_1869_), - .B(_1911_), + .X(_1495_)); + sky130_fd_sc_hd__a221o_1 _1846_ (.A1(\mandelbrot.alu.m2[5] ), + .A2(\mandelbrot.alu.m1[5] ), + .B1(_1492_), + .B2(_1494_), + .C1(_1495_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1912_)); - sky130_fd_sc_hd__nor3_1 _2602_ (.A(_1899_), - .B(_1906_), - .C(_1909_), + .X(_1496_)); + sky130_fd_sc_hd__xor2_1 _1847_ (.A(\mandelbrot.alu.m2[6] ), + .B(\mandelbrot.alu.m1[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1913_)); - sky130_fd_sc_hd__nor2_1 _2603_ (.A(_1910_), - .B(_1913_), + .X(_1497_)); + sky130_fd_sc_hd__xor2_1 _1848_ (.A(\mandelbrot.alu.m2[7] ), + .B(\mandelbrot.alu.m1[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1914_)); - sky130_fd_sc_hd__a21oi_1 _2604_ (.A1(_1912_), - .A2(_1914_), - .B1(_1910_), + .X(_1498_)); + sky130_fd_sc_hd__o211a_1 _1849_ (.A1(\mandelbrot.alu.m2[5] ), + .A2(\mandelbrot.alu.m1[5] ), + .B1(_1497_), + .C1(_1498_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1915_)); - sky130_fd_sc_hd__or2_1 _2605_ (.A(_1897_), - .B(_1915_), + .X(_1499_)); + sky130_fd_sc_hd__o211a_1 _1850_ (.A1(\mandelbrot.alu.m2[7] ), + .A2(\mandelbrot.alu.m1[7] ), + .B1(\mandelbrot.alu.m2[6] ), + .C1(\mandelbrot.alu.m1[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1916_)); - sky130_fd_sc_hd__and2_1 _2606_ (.A(net40), - .B(_1870_), + .X(_1500_)); + sky130_fd_sc_hd__a22o_1 _1851_ (.A1(\mandelbrot.alu.m2[7] ), + .A2(\mandelbrot.alu.m1[7] ), + .B1(_1496_), + .B2(_1499_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1917_)); - sky130_fd_sc_hd__nor2_1 _2607_ (.A(_1871_), - .B(_1917_), + .X(_1501_)); + sky130_fd_sc_hd__o21a_1 _1852_ (.A1(_1500_), + .A2(_1501_), + .B1(_1488_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1918_)); - sky130_fd_sc_hd__xor2_1 _2608_ (.A(_1897_), - .B(_1915_), + .X(_1502_)); + sky130_fd_sc_hd__a22o_1 _1853_ (.A1(\mandelbrot.alu.m2[9] ), + .A2(\mandelbrot.alu.m1[9] ), + .B1(\mandelbrot.alu.m2[8] ), + .B2(\mandelbrot.alu.m1[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1919_)); - sky130_fd_sc_hd__nand2_1 _2609_ (.A(_1918_), - .B(_1919_), + .X(_1503_)); + sky130_fd_sc_hd__xor2_1 _1854_ (.A(\mandelbrot.alu.m2[10] ), + .B(\mandelbrot.alu.m1[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1920_)); - sky130_fd_sc_hd__a21oi_1 _2610_ (.A1(_1875_), - .A2(_1877_), - .B1(net40), + .X(_1504_)); + sky130_fd_sc_hd__and2b_1 _1855_ (.A_N(\mandelbrot.alu.m1[11] ), + .B(\mandelbrot.alu.m2[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1921_)); - sky130_fd_sc_hd__and3_1 _2611_ (.A(net40), - .B(_1875_), - .C(_1877_), + .X(_1505_)); + sky130_fd_sc_hd__and2b_1 _1856_ (.A_N(\mandelbrot.alu.m2[11] ), + .B(\mandelbrot.alu.m1[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1922_)); - sky130_fd_sc_hd__or2_1 _2612_ (.A(_1921_), - .B(_1922_), + .X(_1506_)); + sky130_fd_sc_hd__or2_1 _1857_ (.A(_1505_), + .B(_1506_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1923_)); - sky130_fd_sc_hd__nor2_1 _2613_ (.A(_1814_), - .B(_1816_), + .X(_1507_)); + sky130_fd_sc_hd__o211a_1 _1858_ (.A1(\mandelbrot.alu.m2[9] ), + .A2(\mandelbrot.alu.m1[9] ), + .B1(_1504_), + .C1(_1507_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1924_)); - sky130_fd_sc_hd__nand2_2 _2614_ (.A(net53), - .B(net57), + .X(_1508_)); + sky130_fd_sc_hd__o21a_1 _1859_ (.A1(_1502_), + .A2(_1503_), + .B1(_1508_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1925_)); - sky130_fd_sc_hd__a2bb2o_1 _2615_ (.A1_N(_1881_), - .A2_N(_1884_), - .B1(_1924_), - .B2(net44), + .X(_1509_)); + sky130_fd_sc_hd__and2_1 _1860_ (.A(\mandelbrot.alu.m2[11] ), + .B(\mandelbrot.alu.m1[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1926_)); - sky130_fd_sc_hd__or3_1 _2616_ (.A(net44), - .B(net53), - .C(net57), + .X(_1510_)); + sky130_fd_sc_hd__a31o_1 _1861_ (.A1(\mandelbrot.alu.m2[10] ), + .A2(\mandelbrot.alu.m1[10] ), + .A3(_1507_), + .B1(_1510_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1927_)); - sky130_fd_sc_hd__o21ai_1 _2617_ (.A1(net44), - .A2(_1925_), - .B1(_1927_), + .X(_1511_)); + sky130_fd_sc_hd__o21a_1 _1862_ (.A1(_1509_), + .A2(_1511_), + .B1(_1487_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1928_)); - sky130_fd_sc_hd__xnor2_1 _2618_ (.A(_1881_), - .B(_1928_), + .X(_1512_)); + sky130_fd_sc_hd__a22o_1 _1863_ (.A1(\mandelbrot.alu.m2[13] ), + .A2(\mandelbrot.alu.m1[13] ), + .B1(\mandelbrot.alu.m2[12] ), + .B2(\mandelbrot.alu.m1[12] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1929_)); - sky130_fd_sc_hd__or2_1 _2619_ (.A(_1926_), - .B(_1929_), + .X(_1513_)); + sky130_fd_sc_hd__and2b_1 _1864_ (.A_N(\mandelbrot.alu.m1[13] ), + .B(\mandelbrot.alu.m2[13] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1930_)); - sky130_fd_sc_hd__xor2_1 _2620_ (.A(_1926_), - .B(_1929_), + .X(_1514_)); + sky130_fd_sc_hd__and2b_1 _1865_ (.A_N(\mandelbrot.alu.m2[13] ), + .B(\mandelbrot.alu.m1[13] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1931_)); - sky130_fd_sc_hd__xnor2_1 _2621_ (.A(_1879_), - .B(_1931_), + .X(_1515_)); + sky130_fd_sc_hd__o21ai_1 _1866_ (.A1(_1512_), + .A2(_1513_), + .B1(_1486_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1932_)); - sky130_fd_sc_hd__o21ai_1 _2622_ (.A1(_1893_), - .A2(_1895_), - .B1(_1932_), + .Y(_1516_)); + sky130_fd_sc_hd__nand2_1 _1867_ (.A(\mandelbrot.alu.m2[15] ), + .B(\mandelbrot.alu.m1[15] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1933_)); - sky130_fd_sc_hd__or3_1 _2623_ (.A(_1893_), - .B(_1895_), - .C(_1932_), + .Y(_1517_)); + sky130_fd_sc_hd__and3_1 _1868_ (.A(\mandelbrot.alu.m2[14] ), + .B(\mandelbrot.alu.m1[14] ), + .C(_1482_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1934_)); - sky130_fd_sc_hd__nand2_1 _2624_ (.A(_1933_), - .B(_1934_), + .X(_1518_)); + sky130_fd_sc_hd__a21oi_1 _1869_ (.A1(\mandelbrot.alu.m2[15] ), + .A2(\mandelbrot.alu.m1[15] ), + .B1(_1518_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1935_)); - sky130_fd_sc_hd__xnor2_1 _2625_ (.A(_1923_), - .B(_1935_), + .Y(_1519_)); + sky130_fd_sc_hd__nand2_1 _1870_ (.A(_1482_), + .B(_1517_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1936_)); - sky130_fd_sc_hd__a21o_1 _2626_ (.A1(_1916_), - .A2(_1920_), - .B1(_1936_), + .Y(_1520_)); + sky130_fd_sc_hd__inv_2 _1871_ (.A(_1520_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1937_)); - sky130_fd_sc_hd__nand3_1 _2627_ (.A(_1916_), - .B(_1920_), - .C(_1936_), + .Y(_1521_)); + sky130_fd_sc_hd__o2111ai_2 _1872_ (.A1(_1512_), + .A2(_1513_), + .B1(_1521_), + .C1(_1486_), + .D1(_1485_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1938_)); - sky130_fd_sc_hd__and2_1 _2628_ (.A(_1937_), - .B(_1938_), + .Y(_1522_)); + sky130_fd_sc_hd__nand2_1 _1873_ (.A(_1519_), + .B(_1522_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1939_)); - sky130_fd_sc_hd__nand2_1 _2629_ (.A(_1871_), - .B(_1939_), + .Y(_1523_)); + sky130_fd_sc_hd__and2_1 _1874_ (.A(\mandelbrot.alu.m2[17] ), + .B(net102), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1940_)); - sky130_fd_sc_hd__or2_1 _2630_ (.A(_1871_), - .B(_1939_), + .X(_1524_)); + sky130_fd_sc_hd__nand2_1 _1875_ (.A(\mandelbrot.alu.m2[17] ), + .B(net102), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1941_)); - sky130_fd_sc_hd__nand2_1 _2631_ (.A(_1940_), - .B(_1941_), + .Y(_1525_)); + sky130_fd_sc_hd__a31o_1 _1876_ (.A1(\mandelbrot.alu.m2[16] ), + .A2(\mandelbrot.alu.m1[16] ), + .A3(_1477_), + .B1(_1524_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1942_)); - sky130_fd_sc_hd__or2_1 _2632_ (.A(_1918_), - .B(_1919_), + .X(_1526_)); + sky130_fd_sc_hd__nand2_1 _1877_ (.A(_1477_), + .B(_1525_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1943_)); - sky130_fd_sc_hd__nand2_1 _2633_ (.A(_1920_), - .B(_1943_), + .Y(_1527_)); + sky130_fd_sc_hd__and4_1 _1878_ (.A(_1477_), + .B(_1480_), + .C(_1523_), + .D(_1525_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1944_)); - sky130_fd_sc_hd__xor2_1 _2634_ (.A(_1912_), - .B(_1914_), + .X(_1528_)); + sky130_fd_sc_hd__nor2_1 _1879_ (.A(_1526_), + .B(_1528_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1945_)); - sky130_fd_sc_hd__and2_1 _2635_ (.A(_1907_), - .B(_1908_), + .Y(_1529_)); + sky130_fd_sc_hd__nor2_1 _1880_ (.A(\mandelbrot.alu.m2[18] ), + .B(\mandelbrot.alu.m1[18] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1946_)); - sky130_fd_sc_hd__or2_1 _2636_ (.A(_1909_), - .B(_1946_), + .Y(_1530_)); + sky130_fd_sc_hd__nor2_2 _1881_ (.A(_1475_), + .B(_1530_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1947_)); - sky130_fd_sc_hd__xnor2_1 _2637_ (.A(_1902_), - .B(_1904_), + .Y(_1531_)); + sky130_fd_sc_hd__or2_1 _1882_ (.A(_1475_), + .B(_1530_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1948_)); - sky130_fd_sc_hd__nand2_1 _2638_ (.A(net54), - .B(net71), + .X(_1532_)); + sky130_fd_sc_hd__and2b_2 _1883_ (.A_N(_1473_), + .B(_1474_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1949_)); - sky130_fd_sc_hd__and2b_1 _2639_ (.A_N(net76), - .B(net49), + .X(_1533_)); + sky130_fd_sc_hd__inv_2 _1884_ (.A(_1533_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1950_)); - sky130_fd_sc_hd__nand2_1 _2640_ (.A(net58), - .B(net68), + .Y(_1534_)); + sky130_fd_sc_hd__a31o_1 _1885_ (.A1(_1526_), + .A2(_1531_), + .A3(_1533_), + .B1(_1476_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1951_)); - sky130_fd_sc_hd__xnor2_1 _2641_ (.A(_1949_), - .B(_1950_), + .X(_1535_)); + sky130_fd_sc_hd__a2111o_1 _1886_ (.A1(_1519_), + .A2(_1522_), + .B1(_1527_), + .C1(_1534_), + .D1(_1481_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1952_)); - sky130_fd_sc_hd__nand2b_1 _2642_ (.A_N(_1951_), - .B(_1952_), + .X(_1536_)); + sky130_fd_sc_hd__o21ba_1 _1887_ (.A1(_1532_), + .A2(_1536_), + .B1_N(_1535_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1953_)); - sky130_fd_sc_hd__o31a_1 _2643_ (.A1(_1813_), - .A2(net76), - .A3(_1949_), - .B1(_1953_), + .X(_1537_)); + sky130_fd_sc_hd__a22o_1 _1888_ (.A1(\mandelbrot.alu.m2[21] ), + .A2(\mandelbrot.alu.m1[21] ), + .B1(\mandelbrot.alu.m2[20] ), + .B2(\mandelbrot.alu.m1[20] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1954_)); - sky130_fd_sc_hd__nand2b_1 _2644_ (.A_N(_1954_), - .B(_1948_), + .X(_1538_)); + sky130_fd_sc_hd__xnor2_4 _1889_ (.A(\mandelbrot.alu.m2[21] ), + .B(\mandelbrot.alu.m1[21] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1955_)); - sky130_fd_sc_hd__nand2_1 _2645_ (.A(_1861_), - .B(_1902_), + .Y(_1539_)); + sky130_fd_sc_hd__o21ai_1 _1890_ (.A1(\mandelbrot.alu.m2[21] ), + .A2(\mandelbrot.alu.m1[21] ), + .B1(_1538_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1956_)); - sky130_fd_sc_hd__nor2_1 _2646_ (.A(_1861_), - .B(_1902_), + .Y(_1540_)); + sky130_fd_sc_hd__o31a_1 _1891_ (.A1(_1472_), + .A2(_1537_), + .A3(_1539_), + .B1(_1540_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1957_)); - sky130_fd_sc_hd__o21a_1 _2647_ (.A1(_1859_), - .A2(_1925_), - .B1(_1956_), + .X(_1541_)); + sky130_fd_sc_hd__o311a_1 _1892_ (.A1(_1472_), + .A2(_1537_), + .A3(_1539_), + .B1(_1540_), + .C1(_1464_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1958_)); - sky130_fd_sc_hd__xnor2_1 _2648_ (.A(_1863_), - .B(_1958_), + .X(_1542_)); + sky130_fd_sc_hd__o21a_1 _1893_ (.A1(_1468_), + .A2(_1541_), + .B1(_1464_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1959_)); - sky130_fd_sc_hd__xnor2_1 _2649_ (.A(_1948_), - .B(_1954_), + .X(_1543_)); + sky130_fd_sc_hd__xnor2_2 _1894_ (.A(\mandelbrot.alu.m2[25] ), + .B(\mandelbrot.alu.m1[25] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1960_)); - sky130_fd_sc_hd__a21bo_1 _2650_ (.A1(_1959_), - .A2(_1960_), - .B1_N(_1955_), + .Y(_1544_)); + sky130_fd_sc_hd__nand2_1 _1895_ (.A(\mandelbrot.alu.m2[24] ), + .B(\mandelbrot.alu.m1[24] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1961_)); - sky130_fd_sc_hd__nand2b_1 _2651_ (.A_N(_1947_), - .B(_1961_), + .Y(_1545_)); + sky130_fd_sc_hd__or2_1 _1896_ (.A(\mandelbrot.alu.m2[24] ), + .B(\mandelbrot.alu.m1[24] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1962_)); - sky130_fd_sc_hd__a31o_1 _2652_ (.A1(net49), - .A2(net72), - .A3(_1958_), - .B1(_1957_), + .X(_1546_)); + sky130_fd_sc_hd__nand2_1 _1897_ (.A(_1545_), + .B(_1546_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1963_)); - sky130_fd_sc_hd__xor2_1 _2653_ (.A(_1857_), - .B(_1963_), + .Y(_1547_)); + sky130_fd_sc_hd__inv_2 _1898_ (.A(_1547_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1964_)); - sky130_fd_sc_hd__and2_1 _2654_ (.A(_1867_), - .B(_1964_), + .Y(_1548_)); + sky130_fd_sc_hd__a2111o_1 _1899_ (.A1(_1464_), + .A2(_1468_), + .B1(_1542_), + .C1(_1544_), + .D1(_1547_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1965_)); - sky130_fd_sc_hd__nor2_1 _2655_ (.A(_1867_), - .B(_1964_), + .X(_1549_)); + sky130_fd_sc_hd__a22o_1 _1900_ (.A1(\mandelbrot.alu.m2[25] ), + .A2(\mandelbrot.alu.m1[25] ), + .B1(\mandelbrot.alu.m2[24] ), + .B2(\mandelbrot.alu.m1[24] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1966_)); - sky130_fd_sc_hd__or2_1 _2656_ (.A(_1965_), - .B(_1966_), + .X(_1550_)); + sky130_fd_sc_hd__o21ai_1 _1901_ (.A1(\mandelbrot.alu.m2[25] ), + .A2(\mandelbrot.alu.m1[25] ), + .B1(_1550_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1967_)); - sky130_fd_sc_hd__xor2_1 _2657_ (.A(_1947_), - .B(_1961_), + .Y(_1551_)); + sky130_fd_sc_hd__nor2_1 _1902_ (.A(\mandelbrot.alu.m2[27] ), + .B(\mandelbrot.alu.m1[27] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1968_)); - sky130_fd_sc_hd__o21a_1 _2658_ (.A1(_1967_), - .A2(_1968_), - .B1(_1962_), + .Y(_1552_)); + sky130_fd_sc_hd__nand2_1 _1903_ (.A(\mandelbrot.alu.m2[27] ), + .B(\mandelbrot.alu.m1[27] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1969_)); - sky130_fd_sc_hd__and2b_1 _2659_ (.A_N(_1969_), - .B(_1945_), + .Y(_1553_)); + sky130_fd_sc_hd__nand2_1 _1904_ (.A(\mandelbrot.alu.m2[26] ), + .B(\mandelbrot.alu.m1[26] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1970_)); - sky130_fd_sc_hd__a21oi_1 _2660_ (.A1(_1857_), - .A2(_1963_), - .B1(_1965_), + .Y(_1554_)); + sky130_fd_sc_hd__o211a_1 _1905_ (.A1(_1552_), + .A2(_1554_), + .B1(_1553_), + .C1(_1551_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1971_)); - sky130_fd_sc_hd__nor2_1 _2661_ (.A(net40), - .B(_1971_), + .X(_1555_)); + sky130_fd_sc_hd__or2_1 _1906_ (.A(\mandelbrot.alu.m2[26] ), + .B(\mandelbrot.alu.m1[26] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1972_)); - sky130_fd_sc_hd__and2_1 _2662_ (.A(net40), - .B(_1971_), + .X(_1556_)); + sky130_fd_sc_hd__a31o_1 _1907_ (.A1(_1403_), + .A2(_1404_), + .A3(_1553_), + .B1(_1552_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1973_)); - sky130_fd_sc_hd__nor2_1 _2663_ (.A(_1972_), - .B(_1973_), + .X(_1557_)); + sky130_fd_sc_hd__a21o_1 _1908_ (.A1(_1549_), + .A2(_1555_), + .B1(_1557_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1974_)); - sky130_fd_sc_hd__xnor2_1 _2664_ (.A(_1945_), - .B(_1969_), + .X(_1558_)); + sky130_fd_sc_hd__nand2_1 _1909_ (.A(\mandelbrot.alu.m2[28] ), + .B(\mandelbrot.alu.m1[28] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1975_)); - sky130_fd_sc_hd__a21oi_1 _2665_ (.A1(_1974_), - .A2(_1975_), - .B1(_1970_), + .Y(_1559_)); + sky130_fd_sc_hd__or2_1 _1910_ (.A(\mandelbrot.alu.m2[28] ), + .B(\mandelbrot.alu.m1[28] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1976_)); - sky130_fd_sc_hd__nor2_1 _2666_ (.A(_1944_), - .B(_1976_), + .X(_1560_)); + sky130_fd_sc_hd__nand2_2 _1911_ (.A(_1559_), + .B(_1560_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1977_)); - sky130_fd_sc_hd__and2_1 _2667_ (.A(_1944_), - .B(_1976_), + .Y(_1561_)); + sky130_fd_sc_hd__a211o_1 _1912_ (.A1(_1549_), + .A2(_1555_), + .B1(_1557_), + .C1(_1561_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1978_)); - sky130_fd_sc_hd__nor2_1 _2668_ (.A(_1977_), - .B(_1978_), + .X(_1562_)); + sky130_fd_sc_hd__xnor2_1 _1913_ (.A(_1558_), + .B(_1561_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1979_)); - sky130_fd_sc_hd__a21oi_1 _2669_ (.A1(_1972_), - .A2(_1979_), - .B1(_1977_), + .Y(_1563_)); + sky130_fd_sc_hd__nand2_1 _1914_ (.A(_1554_), + .B(_1556_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1980_)); - sky130_fd_sc_hd__or2_1 _2670_ (.A(_1942_), - .B(_1980_), + .Y(_1564_)); + sky130_fd_sc_hd__inv_2 _1915_ (.A(_1564_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1981_)); - sky130_fd_sc_hd__nand2_1 _2671_ (.A(_1942_), - .B(_1980_), + .Y(_1565_)); + sky130_fd_sc_hd__nand2_1 _1916_ (.A(_1549_), + .B(_1551_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1982_)); - sky130_fd_sc_hd__nand2_2 _2672_ (.A(_1981_), - .B(_1982_), + .Y(_1566_)); + sky130_fd_sc_hd__xnor2_1 _1917_ (.A(_1471_), + .B(_1537_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1983_)); - sky130_fd_sc_hd__xnor2_1 _2673_ (.A(_1972_), - .B(_1979_), + .Y(_1567_)); + sky130_fd_sc_hd__nor2_1 _1918_ (.A(_1529_), + .B(_1531_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1984_)); - sky130_fd_sc_hd__xnor2_1 _2674_ (.A(_1974_), - .B(_1975_), + .Y(_1568_)); + sky130_fd_sc_hd__xnor2_1 _1919_ (.A(_1485_), + .B(_1516_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1985_)); - sky130_fd_sc_hd__xor2_1 _2675_ (.A(_1967_), - .B(_1968_), + .Y(_1569_)); + sky130_fd_sc_hd__nand2_1 _1920_ (.A(\mandelbrot.alu.m2[29] ), + .B(\mandelbrot.alu.m1[29] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1986_)); - sky130_fd_sc_hd__xnor2_1 _2676_ (.A(_1959_), - .B(_1960_), + .Y(_1570_)); + sky130_fd_sc_hd__nor2_1 _1921_ (.A(\mandelbrot.alu.m2[29] ), + .B(\mandelbrot.alu.m1[29] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1987_)); - sky130_fd_sc_hd__xnor2_1 _2677_ (.A(_1951_), - .B(_1952_), + .Y(_1571_)); + sky130_fd_sc_hd__or2_1 _1922_ (.A(\mandelbrot.alu.m2[29] ), + .B(\mandelbrot.alu.m1[29] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1988_)); - sky130_fd_sc_hd__nand2_2 _2678_ (.A(net56), - .B(net77), + .X(_1572_)); + sky130_fd_sc_hd__nand2_2 _1923_ (.A(_1570_), + .B(_1572_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1989_)); - sky130_fd_sc_hd__nand2b_1 _2679_ (.A_N(net81), - .B(net51), + .Y(_1573_)); + sky130_fd_sc_hd__xor2_1 _1924_ (.A(_1560_), + .B(_1573_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1990_)); - sky130_fd_sc_hd__nand2_1 _2680_ (.A(net60), - .B(net73), + .X(_1574_)); + sky130_fd_sc_hd__nand2b_2 _1925_ (.A_N(_1552_), + .B(_1553_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1991_)); - sky130_fd_sc_hd__a21o_1 _2681_ (.A1(_1989_), - .A2(_1990_), - .B1(_1991_), + .Y(_1575_)); + sky130_fd_sc_hd__xor2_1 _1926_ (.A(_1556_), + .B(_1575_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1992_)); - sky130_fd_sc_hd__o21a_1 _2682_ (.A1(_1989_), - .A2(_1990_), - .B1(_1992_), + .X(_1576_)); + sky130_fd_sc_hd__xor2_1 _1927_ (.A(_1530_), + .B(_1533_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1993_)); - sky130_fd_sc_hd__and2b_1 _2683_ (.A_N(_1993_), - .B(_1988_), + .X(_1577_)); + sky130_fd_sc_hd__xnor2_1 _1928_ (.A(_1484_), + .B(_1520_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1994_)); - sky130_fd_sc_hd__nand2_1 _2684_ (.A(_1818_), - .B(_1951_), + .Y(_1578_)); + sky130_fd_sc_hd__o21ai_1 _1929_ (.A1(_1465_), + .A2(_1466_), + .B1(_1574_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1995_)); - sky130_fd_sc_hd__nand2_1 _2685_ (.A(net58), - .B(_1858_), + .Y(_1579_)); + sky130_fd_sc_hd__a21o_1 _1930_ (.A1(_1465_), + .A2(_1466_), + .B1(_1579_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1996_)); - sky130_fd_sc_hd__and3b_1 _2686_ (.A_N(_1949_), - .B(_1995_), - .C(_1996_), + .X(_1580_)); + sky130_fd_sc_hd__o21ai_1 _1931_ (.A1(_1470_), + .A2(_1539_), + .B1(_1578_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1997_)); - sky130_fd_sc_hd__a21boi_1 _2687_ (.A1(_1995_), - .A2(_1996_), - .B1_N(_1949_), + .Y(_1581_)); + sky130_fd_sc_hd__a21o_1 _1932_ (.A1(_1470_), + .A2(_1539_), + .B1(_1581_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1998_)); - sky130_fd_sc_hd__nor2_1 _2688_ (.A(_1997_), - .B(_1998_), + .X(_1582_)); + sky130_fd_sc_hd__o21ai_1 _1933_ (.A1(_1479_), + .A2(_1527_), + .B1(_1577_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1999_)); - sky130_fd_sc_hd__xnor2_1 _2689_ (.A(_1988_), - .B(_1993_), + .Y(_1583_)); + sky130_fd_sc_hd__o21ai_1 _1934_ (.A1(_1544_), + .A2(_1546_), + .B1(_1576_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2000_)); - sky130_fd_sc_hd__a21o_1 _2690_ (.A1(_1999_), - .A2(_2000_), - .B1(_1994_), + .Y(_1584_)); + sky130_fd_sc_hd__a21o_1 _1935_ (.A1(_1544_), + .A2(_1546_), + .B1(_1584_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2001_)); - sky130_fd_sc_hd__and2b_1 _2691_ (.A_N(_1987_), - .B(_2001_), + .X(_1585_)); + sky130_fd_sc_hd__a2111o_1 _1936_ (.A1(_1479_), + .A2(_1527_), + .B1(_1582_), + .C1(_1583_), + .D1(_1585_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2002_)); - sky130_fd_sc_hd__a21o_1 _2692_ (.A1(net58), - .A2(_1858_), - .B1(_1997_), + .X(_1586_)); + sky130_fd_sc_hd__xnor2_1 _1937_ (.A(_1481_), + .B(_1523_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2003_)); - sky130_fd_sc_hd__xor2_1 _2693_ (.A(_1857_), - .B(_2003_), + .Y(_1587_)); + sky130_fd_sc_hd__or4_1 _1938_ (.A(_1569_), + .B(_1580_), + .C(_1586_), + .D(_1587_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2004_)); - sky130_fd_sc_hd__and2_1 _2694_ (.A(_1867_), - .B(_2004_), + .X(_1588_)); + sky130_fd_sc_hd__a21o_1 _1939_ (.A1(_1529_), + .A2(_1531_), + .B1(_1588_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2005_)); - sky130_fd_sc_hd__nor2_1 _2695_ (.A(_1867_), - .B(_2004_), + .X(_1589_)); + sky130_fd_sc_hd__xnor2_1 _1940_ (.A(_1467_), + .B(_1541_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2006_)); - sky130_fd_sc_hd__or2_1 _2696_ (.A(_2005_), - .B(_2006_), + .Y(_1590_)); + sky130_fd_sc_hd__a2111o_1 _1941_ (.A1(_1543_), + .A2(_1548_), + .B1(_1567_), + .C1(_1568_), + .D1(_1589_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2007_)); - sky130_fd_sc_hd__xor2_1 _2697_ (.A(_1987_), - .B(_2001_), + .X(_1591_)); + sky130_fd_sc_hd__o21ai_1 _1942_ (.A1(_1543_), + .A2(_1548_), + .B1(_1590_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2008_)); - sky130_fd_sc_hd__o21ba_1 _2698_ (.A1(_2007_), - .A2(_2008_), - .B1_N(_2002_), + .Y(_1592_)); + sky130_fd_sc_hd__nor2_1 _1943_ (.A(_1591_), + .B(_1592_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2009_)); - sky130_fd_sc_hd__and2b_1 _2699_ (.A_N(_2009_), - .B(_1986_), + .Y(_1593_)); + sky130_fd_sc_hd__xnor2_1 _1944_ (.A(_1565_), + .B(_1566_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2010_)); - sky130_fd_sc_hd__a21oi_1 _2700_ (.A1(_1857_), - .A2(_2003_), - .B1(_2005_), + .Y(_1594_)); + sky130_fd_sc_hd__xnor2_2 _1945_ (.A(\mandelbrot.alu.m2[30] ), + .B(\mandelbrot.alu.m1[30] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2011_)); - sky130_fd_sc_hd__nor2_1 _2701_ (.A(net40), - .B(_2011_), + .Y(_1595_)); + sky130_fd_sc_hd__a31o_1 _1946_ (.A1(_1559_), + .A2(_1562_), + .A3(_1570_), + .B1(_1571_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2012_)); - sky130_fd_sc_hd__and2_1 _2702_ (.A(net40), - .B(_2011_), + .X(_1596_)); + sky130_fd_sc_hd__a32o_1 _1947_ (.A1(_1563_), + .A2(_1593_), + .A3(_1594_), + .B1(_1595_), + .B2(_1596_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2013_)); - sky130_fd_sc_hd__nor2_1 _2703_ (.A(_2012_), - .B(_2013_), + .X(_1597_)); + sky130_fd_sc_hd__and2_1 _1948_ (.A(\mandelbrot.alu.m2[31] ), + .B(\mandelbrot.alu.m1[31] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2014_)); - sky130_fd_sc_hd__xnor2_1 _2704_ (.A(_1986_), - .B(_2009_), + .X(_1598_)); + sky130_fd_sc_hd__nor2_1 _1949_ (.A(\mandelbrot.alu.m2[31] ), + .B(\mandelbrot.alu.m1[31] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2015_)); - sky130_fd_sc_hd__a21oi_1 _2705_ (.A1(_2014_), - .A2(_2015_), - .B1(_2010_), + .Y(_1599_)); + sky130_fd_sc_hd__nor2_1 _1950_ (.A(_1598_), + .B(_1599_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2016_)); - sky130_fd_sc_hd__xor2_1 _2706_ (.A(_1985_), - .B(_2016_), + .Y(_1600_)); + sky130_fd_sc_hd__or2_1 _1951_ (.A(_1598_), + .B(_1599_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2017_)); - sky130_fd_sc_hd__nand2_1 _2707_ (.A(_2012_), - .B(_2017_), + .X(_1601_)); + sky130_fd_sc_hd__and3_1 _1952_ (.A(\mandelbrot.alu.m2[30] ), + .B(\mandelbrot.alu.m1[30] ), + .C(_1600_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2018_)); - sky130_fd_sc_hd__o21a_1 _2708_ (.A1(_1985_), - .A2(_2016_), - .B1(_2018_), + .X(_1602_)); + sky130_fd_sc_hd__a311o_1 _1953_ (.A1(_1559_), + .A2(_1562_), + .A3(_1570_), + .B1(_1571_), + .C1(_1595_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2019_)); - sky130_fd_sc_hd__nor2_1 _2709_ (.A(_1984_), - .B(_2019_), + .X(_1603_)); + sky130_fd_sc_hd__a21oi_1 _1954_ (.A1(\mandelbrot.alu.m2[30] ), + .A2(\mandelbrot.alu.m1[30] ), + .B1(_1600_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2020_)); - sky130_fd_sc_hd__nand2_1 _2710_ (.A(_1984_), - .B(_2019_), + .Y(_1604_)); + sky130_fd_sc_hd__a21o_1 _1955_ (.A1(_1603_), + .A2(_1604_), + .B1(_1602_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2021_)); - sky130_fd_sc_hd__nand2b_2 _2711_ (.A_N(_2020_), - .B(_2021_), + .X(_1605_)); + sky130_fd_sc_hd__a2bb2o_1 _1956_ (.A1_N(_1601_), + .A2_N(_1603_), + .B1(_1605_), + .B2(_1597_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2022_)); - sky130_fd_sc_hd__or2_1 _2712_ (.A(_2012_), - .B(_2017_), + .X(_1606_)); + sky130_fd_sc_hd__or4_1 _1957_ (.A(_1562_), + .B(_1573_), + .C(_1595_), + .D(_1601_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2023_)); - sky130_fd_sc_hd__nand2_1 _2713_ (.A(_2018_), - .B(_2023_), + .X(_1607_)); + sky130_fd_sc_hd__xnor2_1 _1958_ (.A(\mandelbrot.max_ctr[1] ), + .B(\mandelbrot.ctr[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2024_)); - sky130_fd_sc_hd__xnor2_1 _2714_ (.A(_2014_), - .B(_2015_), + .Y(_1608_)); + sky130_fd_sc_hd__nand2_1 _1959_ (.A(\mandelbrot.max_ctr[8] ), + .B(\mandelbrot.ctr[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2025_)); - sky130_fd_sc_hd__xor2_1 _2715_ (.A(_2007_), - .B(_2008_), + .Y(_1609_)); + sky130_fd_sc_hd__or2_1 _1960_ (.A(\mandelbrot.max_ctr[8] ), + .B(\mandelbrot.ctr[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2026_)); - sky130_fd_sc_hd__xnor2_1 _2716_ (.A(_1999_), - .B(_2000_), + .X(_1610_)); + sky130_fd_sc_hd__o221a_1 _1961_ (.A1(_1397_), + .A2(\mandelbrot.max_ctr[0] ), + .B1(\mandelbrot.max_ctr[6] ), + .B2(_1415_), + .C1(_1608_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2027_)); - sky130_fd_sc_hd__xor2_1 _2717_ (.A(_1989_), - .B(_1990_), + .X(_1611_)); + sky130_fd_sc_hd__xor2_1 _1962_ (.A(\mandelbrot.max_ctr[2] ), + .B(\mandelbrot.ctr[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2028_)); - sky130_fd_sc_hd__nand2_1 _2718_ (.A(net55), - .B(net81), + .X(_1612_)); + sky130_fd_sc_hd__xor2_1 _1963_ (.A(\mandelbrot.max_ctr[3] ), + .B(\mandelbrot.ctr[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2029_)); - sky130_fd_sc_hd__and2b_1 _2719_ (.A_N(net84), - .B(net51), + .X(_1613_)); + sky130_fd_sc_hd__o22ai_1 _1964_ (.A1(_1414_), + .A2(net111), + .B1(\mandelbrot.max_ctr[9] ), + .B2(_1418_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2030_)); - sky130_fd_sc_hd__and3_1 _2720_ (.A(net56), - .B(net81), - .C(_2030_), + .Y(_1614_)); + sky130_fd_sc_hd__nand2_1 _1965_ (.A(\mandelbrot.max_ctr[5] ), + .B(\mandelbrot.ctr[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2031_)); - sky130_fd_sc_hd__nand2_1 _2721_ (.A(net60), - .B(net77), + .Y(_1615_)); + sky130_fd_sc_hd__or2_1 _1966_ (.A(\mandelbrot.max_ctr[5] ), + .B(\mandelbrot.ctr[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2032_)); - sky130_fd_sc_hd__xnor2_1 _2722_ (.A(_2029_), - .B(_2030_), + .X(_1616_)); + sky130_fd_sc_hd__a221o_1 _1967_ (.A1(_1414_), + .A2(net111), + .B1(\mandelbrot.max_ctr[7] ), + .B2(_1417_), + .C1(_1614_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2033_)); - sky130_fd_sc_hd__a31oi_2 _2723_ (.A1(net60), - .A2(net77), - .A3(_2033_), - .B1(_2031_), + .X(_1617_)); + sky130_fd_sc_hd__a221o_1 _1968_ (.A1(_1397_), + .A2(\mandelbrot.max_ctr[0] ), + .B1(\mandelbrot.max_ctr[6] ), + .B2(_1415_), + .C1(_1617_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2034_)); - sky130_fd_sc_hd__mux2_1 _2724_ (.A0(_1991_), - .A1(_2034_), - .S(_2028_), + .X(_1618_)); + sky130_fd_sc_hd__a221o_1 _1969_ (.A1(_1416_), + .A2(\mandelbrot.ctr[7] ), + .B1(\mandelbrot.max_ctr[9] ), + .B2(_1418_), + .C1(_1618_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2035_)); - sky130_fd_sc_hd__or2_1 _2725_ (.A(_2027_), - .B(_2035_), + .X(_1619_)); + sky130_fd_sc_hd__a221o_1 _1970_ (.A1(_1609_), + .A2(_1610_), + .B1(_1615_), + .B2(_1616_), + .C1(_1619_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2036_)); - sky130_fd_sc_hd__and2_1 _2726_ (.A(_1857_), - .B(_1858_), + .X(_1620_)); + sky130_fd_sc_hd__or4b_1 _1971_ (.A(_1612_), + .B(_1613_), + .C(_1620_), + .D_N(_1611_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2037_)); - sky130_fd_sc_hd__xnor2_1 _2727_ (.A(_1857_), - .B(_1859_), + .X(_1621_)); + sky130_fd_sc_hd__a2111o_1 _1972_ (.A1(_1559_), + .A2(_1570_), + .B1(_1571_), + .C1(_1595_), + .D1(_1601_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2038_)); - sky130_fd_sc_hd__and4_1 _2728_ (.A(net51), - .B(net56), - .C(net77), - .D(net81), + .X(_1622_)); + sky130_fd_sc_hd__or3_1 _1973_ (.A(\mandelbrot.overflowed ), + .B(_1598_), + .C(_1602_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2039_)); - sky130_fd_sc_hd__o21ai_1 _2729_ (.A1(_1813_), - .A2(_1821_), - .B1(_1989_), + .X(_1623_)); + sky130_fd_sc_hd__and4b_2 _1974_ (.A_N(_1623_), + .B(_1622_), + .C(_1621_), + .D(_1607_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2040_)); - sky130_fd_sc_hd__and2b_1 _2730_ (.A_N(_2039_), - .B(_2040_), + .X(_1624_)); + sky130_fd_sc_hd__nand3_4 _1975_ (.A(net100), + .B(_1606_), + .C(_1624_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2041_)); - sky130_fd_sc_hd__a31o_1 _2731_ (.A1(net51), - .A2(net84), - .A3(_2040_), - .B1(_2039_), + .Y(_1625_)); + sky130_fd_sc_hd__nor2_1 _1976_ (.A(net128), + .B(\mandelbrot.l_alu_finished ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2042_)); - sky130_fd_sc_hd__xnor2_1 _2732_ (.A(_2038_), - .B(_2042_), + .Y(_1626_)); + sky130_fd_sc_hd__or2_1 _1977_ (.A(net128), + .B(\mandelbrot.l_alu_finished ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2043_)); - sky130_fd_sc_hd__xnor2_1 _2733_ (.A(_2027_), - .B(_2035_), + .X(_1627_)); + sky130_fd_sc_hd__mux2_2 _1978_ (.A0(_1627_), + .A1(_1419_), + .S(_1625_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2044_)); - sky130_fd_sc_hd__o21a_1 _2734_ (.A1(_2043_), - .A2(_2044_), - .B1(_2036_), + .X(_1628_)); + sky130_fd_sc_hd__mux2_1 _1979_ (.A0(_1626_), + .A1(\mandelbrot.run ), + .S(_1625_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2045_)); - sky130_fd_sc_hd__and2b_1 _2735_ (.A_N(_2045_), - .B(_2026_), + .X(_1629_)); + sky130_fd_sc_hd__nor2_1 _1980_ (.A(net127), + .B(net40), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2046_)); - sky130_fd_sc_hd__a21oi_1 _2736_ (.A1(_2038_), - .A2(_2042_), - .B1(_2037_), + .Y(_1630_)); + sky130_fd_sc_hd__and2_1 _1981_ (.A(\mandelbrot.alu.mult_zr_zr.ctr[0] ), + .B(\mandelbrot.alu.mult_zr_zr.ctr[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2047_)); - sky130_fd_sc_hd__nor2_1 _2737_ (.A(net40), - .B(_2047_), + .X(_1631_)); + sky130_fd_sc_hd__nand2_1 _1982_ (.A(net176), + .B(_1631_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2048_)); - sky130_fd_sc_hd__and2_1 _2738_ (.A(net40), - .B(_2047_), + .Y(_1632_)); + sky130_fd_sc_hd__a21o_1 _1983_ (.A1(net127), + .A2(_1632_), + .B1(_1630_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2049_)); - sky130_fd_sc_hd__nor2_1 _2739_ (.A(_2048_), - .B(_2049_), + .X(_0007_)); + sky130_fd_sc_hd__and2_1 _1984_ (.A(\mandelbrot.alu.mult_zi_zi.ctr[0] ), + .B(\mandelbrot.alu.mult_zi_zi.ctr[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2050_)); - sky130_fd_sc_hd__xnor2_1 _2740_ (.A(_2026_), - .B(_2045_), + .X(_1633_)); + sky130_fd_sc_hd__nand2_1 _1985_ (.A(net162), + .B(_1633_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2051_)); - sky130_fd_sc_hd__a21oi_1 _2741_ (.A1(_2050_), - .A2(_2051_), - .B1(_2046_), + .Y(_1634_)); + sky130_fd_sc_hd__mux2_1 _1986_ (.A0(net39), + .A1(_1634_), + .S(net122), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2052_)); - sky130_fd_sc_hd__nor2_1 _2742_ (.A(_2025_), - .B(_2052_), + .X(_0005_)); + sky130_fd_sc_hd__nor2_2 _1987_ (.A(net113), + .B(net40), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2053_)); - sky130_fd_sc_hd__nand2_1 _2743_ (.A(_2025_), - .B(_2052_), + .Y(_1635_)); + sky130_fd_sc_hd__and2_1 _1988_ (.A(\mandelbrot.alu.mult_zr_zi.ctr[0] ), + .B(\mandelbrot.alu.mult_zr_zi.ctr[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2054_)); - sky130_fd_sc_hd__and2b_1 _2744_ (.A_N(_2053_), - .B(_2054_), + .X(_1636_)); + sky130_fd_sc_hd__nand2_1 _1989_ (.A(net316), + .B(_1636_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2055_)); - sky130_fd_sc_hd__a21oi_1 _2745_ (.A1(_2048_), - .A2(_2054_), - .B1(_2053_), + .Y(_1637_)); + sky130_fd_sc_hd__a21o_1 _1990_ (.A1(net114), + .A2(_1637_), + .B1(_1635_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2056_)); - sky130_fd_sc_hd__nor2_1 _2746_ (.A(_2024_), - .B(_2056_), + .X(_0006_)); + sky130_fd_sc_hd__nand2_1 _1991_ (.A(net108), + .B(\mandelbrot.run ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2057_)); - sky130_fd_sc_hd__xnor2_1 _2747_ (.A(_2024_), - .B(_2056_), + .Y(_1638_)); + sky130_fd_sc_hd__nand2_1 _1992_ (.A(net100), + .B(_1627_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2058_)); - sky130_fd_sc_hd__inv_2 _2748_ (.A(_2058_), + .Y(_1639_)); + sky130_fd_sc_hd__a21oi_1 _1993_ (.A1(_1606_), + .A2(_1624_), + .B1(_1627_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2059_)); - sky130_fd_sc_hd__xnor2_1 _2749_ (.A(_2032_), - .B(_2033_), + .Y(_1640_)); + sky130_fd_sc_hd__and3_1 _1994_ (.A(_1625_), + .B(_1638_), + .C(_1639_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2060_)); - sky130_fd_sc_hd__nand2_1 _2750_ (.A(net55), - .B(net86), + .X(_0004_)); + sky130_fd_sc_hd__and3_1 _1995_ (.A(\vga.pixel_ctr[2] ), + .B(\vga.pixel_ctr[1] ), + .C(\vga.pixel_ctr[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2061_)); - sky130_fd_sc_hd__and2b_1 _2751_ (.A_N(net92), - .B(net51), + .X(_1641_)); + sky130_fd_sc_hd__and3_1 _1996_ (.A(\vga.pixel_ctr[4] ), + .B(\vga.pixel_ctr[3] ), + .C(_1641_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2062_)); - sky130_fd_sc_hd__and3_1 _2752_ (.A(net55), - .B(net86), - .C(_2062_), + .X(_1642_)); + sky130_fd_sc_hd__or4_1 _1997_ (.A(\vga.pixel_ctr[7] ), + .B(\vga.pixel_ctr[6] ), + .C(\vga.pixel_ctr[9] ), + .D(\vga.pixel_ctr[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2063_)); - sky130_fd_sc_hd__nand2_1 _2753_ (.A(net60), - .B(net81), + .X(_1643_)); + sky130_fd_sc_hd__or3_2 _1998_ (.A(\vga.pixel_ctr[5] ), + .B(_1642_), + .C(_1643_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2064_)); - sky130_fd_sc_hd__xnor2_1 _2754_ (.A(_2061_), - .B(_2062_), + .X(_1644_)); + sky130_fd_sc_hd__and2_2 _1999_ (.A(\vga.pixel_ctr[10] ), + .B(_1644_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2065_)); - sky130_fd_sc_hd__a31o_1 _2755_ (.A1(net60), - .A2(net82), - .A3(_2065_), - .B1(_2063_), + .X(_1645_)); + sky130_fd_sc_hd__nand2_2 _2000_ (.A(\vga.pixel_ctr[10] ), + .B(_1644_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2066_)); - sky130_fd_sc_hd__and2_1 _2756_ (.A(_2060_), - .B(_2066_), + .Y(_1646_)); + sky130_fd_sc_hd__nor2_1 _2001_ (.A(net218), + .B(_1645_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2067_)); - sky130_fd_sc_hd__xor2_1 _2757_ (.A(_2060_), - .B(_2066_), + .Y(_0008_)); + sky130_fd_sc_hd__a21oi_1 _2002_ (.A1(\vga.pixel_ctr[1] ), + .A2(\vga.pixel_ctr[0] ), + .B1(_1645_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2068_)); - sky130_fd_sc_hd__a21oi_1 _2758_ (.A1(net69), - .A2(_2068_), - .B1(_2067_), + .Y(_1647_)); + sky130_fd_sc_hd__o21a_1 _2003_ (.A1(\vga.pixel_ctr[1] ), + .A2(net218), + .B1(_1647_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2069_)); - sky130_fd_sc_hd__xnor2_1 _2759_ (.A(_1991_), - .B(_2028_), - .VGND(VGND), + .X(_0010_)); + sky130_fd_sc_hd__a21o_1 _2004_ (.A1(\vga.pixel_ctr[1] ), + .A2(\vga.pixel_ctr[0] ), + .B1(\vga.pixel_ctr[2] ), + .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2070_)); - sky130_fd_sc_hd__xnor2_1 _2760_ (.A(_2034_), - .B(_2070_), + .X(_1648_)); + sky130_fd_sc_hd__and3b_1 _2005_ (.A_N(_1641_), + .B(_1646_), + .C(_1648_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2071_)); - sky130_fd_sc_hd__xor2_1 _2761_ (.A(_1991_), - .B(_2071_), + .X(_0011_)); + sky130_fd_sc_hd__a21oi_1 _2006_ (.A1(\vga.pixel_ctr[3] ), + .A2(_1641_), + .B1(_1645_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2072_)); - sky130_fd_sc_hd__nor2_1 _2762_ (.A(_2069_), - .B(_2072_), + .Y(_1649_)); + sky130_fd_sc_hd__o21a_1 _2007_ (.A1(\vga.pixel_ctr[3] ), + .A2(_1641_), + .B1(_1649_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2073_)); - sky130_fd_sc_hd__xnor2_1 _2763_ (.A(_2069_), - .B(_2072_), + .X(_0012_)); + sky130_fd_sc_hd__a21o_1 _2008_ (.A1(\vga.pixel_ctr[3] ), + .A2(_1641_), + .B1(\vga.pixel_ctr[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2074_)); - sky130_fd_sc_hd__nor2_1 _2764_ (.A(_1989_), - .B(_2064_), + .X(_1650_)); + sky130_fd_sc_hd__and3b_1 _2009_ (.A_N(_1642_), + .B(_1646_), + .C(_1650_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2075_)); - sky130_fd_sc_hd__nand2_1 _2765_ (.A(_2029_), - .B(_2032_), + .X(_0013_)); + sky130_fd_sc_hd__and2_1 _2010_ (.A(\vga.pixel_ctr[5] ), + .B(_1642_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2076_)); - sky130_fd_sc_hd__and2b_1 _2766_ (.A_N(_2075_), - .B(_2076_), + .X(_1651_)); + sky130_fd_sc_hd__inv_2 _2011_ (.A(_1651_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2077_)); - sky130_fd_sc_hd__a31o_1 _2767_ (.A1(net51), - .A2(net84), - .A3(_2076_), - .B1(_2075_), + .Y(_1652_)); + sky130_fd_sc_hd__o211a_1 _2012_ (.A1(\vga.pixel_ctr[5] ), + .A2(_1642_), + .B1(_1646_), + .C1(_1652_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2078_)); - sky130_fd_sc_hd__xnor2_1 _2768_ (.A(_1854_), - .B(_2041_), + .X(_0014_)); + sky130_fd_sc_hd__o21ai_1 _2013_ (.A1(\vga.pixel_ctr[6] ), + .A2(_1651_), + .B1(_1646_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2079_)); - sky130_fd_sc_hd__nand2_1 _2769_ (.A(net64), - .B(net73), + .Y(_1653_)); + sky130_fd_sc_hd__a21oi_1 _2014_ (.A1(net243), + .A2(_1651_), + .B1(_1653_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2080_)); - sky130_fd_sc_hd__and3_1 _2770_ (.A(net64), - .B(net73), - .C(_2079_), + .Y(_0015_)); + sky130_fd_sc_hd__a31o_1 _2015_ (.A1(\vga.pixel_ctr[6] ), + .A2(\vga.pixel_ctr[5] ), + .A3(_1642_), + .B1(\vga.pixel_ctr[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2081_)); - sky130_fd_sc_hd__xnor2_1 _2771_ (.A(_2079_), - .B(_2080_), + .X(_1654_)); + sky130_fd_sc_hd__and3_1 _2016_ (.A(\vga.pixel_ctr[7] ), + .B(\vga.pixel_ctr[6] ), + .C(\vga.pixel_ctr[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2082_)); - sky130_fd_sc_hd__xor2_1 _2772_ (.A(_2078_), - .B(_2082_), + .X(_1655_)); + sky130_fd_sc_hd__nand3_1 _2017_ (.A(\vga.pixel_ctr[7] ), + .B(\vga.pixel_ctr[6] ), + .C(_1651_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2083_)); - sky130_fd_sc_hd__and2b_1 _2773_ (.A_N(_2074_), - .B(_2083_), + .Y(_1656_)); + sky130_fd_sc_hd__and3_1 _2018_ (.A(_1646_), + .B(_1654_), + .C(_1656_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2084_)); - sky130_fd_sc_hd__xor2_1 _2774_ (.A(_2043_), - .B(_2044_), + .X(_0016_)); + sky130_fd_sc_hd__and3_1 _2019_ (.A(\vga.pixel_ctr[8] ), + .B(_1642_), + .C(_1655_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2085_)); - sky130_fd_sc_hd__o21ai_1 _2775_ (.A1(_2073_), - .A2(_2084_), - .B1(_2085_), + .X(_1657_)); + sky130_fd_sc_hd__a211oi_1 _2020_ (.A1(_1420_), + .A2(_1656_), + .B1(_1657_), + .C1(_1645_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2086_)); - sky130_fd_sc_hd__a21oi_1 _2776_ (.A1(_2078_), - .A2(_2082_), - .B1(_2081_), + .Y(_0017_)); + sky130_fd_sc_hd__or2_1 _2021_ (.A(\vga.pixel_ctr[9] ), + .B(_1657_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2087_)); - sky130_fd_sc_hd__nor2_2 _2777_ (.A(net41), - .B(_2087_), + .X(_1658_)); + sky130_fd_sc_hd__nand2_1 _2022_ (.A(\vga.pixel_ctr[9] ), + .B(_1657_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2088_)); - sky130_fd_sc_hd__and2_1 _2778_ (.A(net41), - .B(_2087_), + .Y(_1659_)); + sky130_fd_sc_hd__and3_1 _2023_ (.A(_1646_), + .B(_1658_), + .C(_1659_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2089_)); - sky130_fd_sc_hd__nor2_1 _2779_ (.A(_2088_), - .B(_2089_), + .X(_0018_)); + sky130_fd_sc_hd__mux2_1 _2024_ (.A0(_1659_), + .A1(_1644_), + .S(\vga.pixel_ctr[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2090_)); - sky130_fd_sc_hd__or3_1 _2780_ (.A(_2073_), - .B(_2084_), - .C(_2085_), + .X(_1660_)); + sky130_fd_sc_hd__inv_2 _2025_ (.A(_1660_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2091_)); - sky130_fd_sc_hd__and2_1 _2781_ (.A(_2086_), - .B(_2091_), + .Y(_0009_)); + sky130_fd_sc_hd__o2111a_1 _2026_ (.A1(\vga.pixel_ctr[1] ), + .A2(\vga.pixel_ctr[0] ), + .B1(\vga.pixel_ctr[4] ), + .C1(\vga.pixel_ctr[3] ), + .D1(\vga.pixel_ctr[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2092_)); - sky130_fd_sc_hd__a21bo_1 _2782_ (.A1(_2090_), - .A2(_2091_), - .B1_N(_2086_), + .X(_1661_)); + sky130_fd_sc_hd__o31ai_1 _2027_ (.A1(\vga.pixel_ctr[5] ), + .A2(_1643_), + .A3(_1661_), + .B1(\vga.pixel_ctr[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2093_)); - sky130_fd_sc_hd__xnor2_1 _2783_ (.A(_2050_), - .B(_2051_), + .Y(_1662_)); + sky130_fd_sc_hd__o21ba_1 _2028_ (.A1(_1430_), + .A2(_1434_), + .B1_N(\vga.pixel_ctr[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2094_)); - sky130_fd_sc_hd__nand2b_1 _2784_ (.A_N(_2094_), - .B(_2093_), + .X(_1663_)); + sky130_fd_sc_hd__a21o_1 _2029_ (.A1(_1655_), + .A2(_1661_), + .B1(_1435_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2095_)); - sky130_fd_sc_hd__xnor2_2 _2785_ (.A(_2093_), - .B(_2094_), + .X(_1664_)); + sky130_fd_sc_hd__and3_1 _2030_ (.A(_1662_), + .B(_1663_), + .C(_1664_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2096_)); - sky130_fd_sc_hd__a21bo_1 _2786_ (.A1(_2088_), - .A2(_2096_), - .B1_N(_2095_), + .X(\vga.read )); + sky130_fd_sc_hd__and3b_1 _2031_ (.A_N(\l_sen[1] ), + .B(net314), + .C(\l_sen[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2097_)); - sky130_fd_sc_hd__xnor2_1 _2787_ (.A(_2048_), - .B(_2055_), + .X(_0000_)); + sky130_fd_sc_hd__a211o_1 _2032_ (.A1(\vga.timing_v.pixel[1] ), + .A2(\vga.timing_v.pixel[0] ), + .B1(\vga.timing_v.pixel[3] ), + .C1(\vga.timing_v.pixel[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2098_)); - sky130_fd_sc_hd__nand2b_1 _2788_ (.A_N(_2098_), - .B(_2097_), + .X(_1665_)); + sky130_fd_sc_hd__a41o_1 _2033_ (.A1(\vga.timing_v.pixel[6] ), + .A2(\vga.timing_v.pixel[5] ), + .A3(\vga.timing_v.pixel[4] ), + .A4(_1665_), + .B1(\vga.timing_v.pixel[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2099_)); - sky130_fd_sc_hd__xnor2_2 _2789_ (.A(_2097_), - .B(_2098_), + .X(_1666_)); + sky130_fd_sc_hd__o21ai_1 _2034_ (.A1(\vga.timing_v.pixel[8] ), + .A2(_1666_), + .B1(\vga.timing_v.pixel[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2100_)); - sky130_fd_sc_hd__xnor2_4 _2790_ (.A(_2088_), - .B(_2096_), + .Y(_1667_)); + sky130_fd_sc_hd__or2_2 _2035_ (.A(_1646_), + .B(_1667_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2101_)); - sky130_fd_sc_hd__and2_1 _2791_ (.A(net55), - .B(net90), + .X(_1668_)); + sky130_fd_sc_hd__o21ai_1 _2036_ (.A1(\vga.timing_v.pixel[0] ), + .A2(_1645_), + .B1(_1668_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2102_)); - sky130_fd_sc_hd__nand2b_1 _2792_ (.A_N(net94), - .B(net51), + .Y(_1669_)); + sky130_fd_sc_hd__a21oi_1 _2037_ (.A1(net272), + .A2(_1645_), + .B1(_1669_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2103_)); - sky130_fd_sc_hd__and3b_1 _2793_ (.A_N(net94), - .B(net51), - .C(_2102_), + .Y(_0133_)); + sky130_fd_sc_hd__a31o_1 _2038_ (.A1(\vga.pixel_ctr[10] ), + .A2(\vga.timing_v.pixel[0] ), + .A3(_1644_), + .B1(\vga.timing_v.pixel[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2104_)); - sky130_fd_sc_hd__nand2_1 _2794_ (.A(net60), - .B(net86), + .X(_1670_)); + sky130_fd_sc_hd__and3_2 _2039_ (.A(\vga.timing_v.pixel[1] ), + .B(\vga.timing_v.pixel[0] ), + .C(_1645_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2105_)); - sky130_fd_sc_hd__xnor2_2 _2795_ (.A(_2102_), - .B(_2103_), + .X(_1671_)); + sky130_fd_sc_hd__inv_2 _2040_ (.A(_1671_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2106_)); - sky130_fd_sc_hd__a31o_1 _2796_ (.A1(net60), - .A2(net86), - .A3(_2106_), - .B1(_2104_), + .Y(_1672_)); + sky130_fd_sc_hd__and3_1 _2041_ (.A(_1668_), + .B(_1670_), + .C(_1672_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2107_)); - sky130_fd_sc_hd__xnor2_1 _2797_ (.A(_2064_), - .B(_2065_), + .X(_0134_)); + sky130_fd_sc_hd__and2_1 _2042_ (.A(\vga.timing_v.pixel[2] ), + .B(_1671_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2108_)); - sky130_fd_sc_hd__nand2_1 _2798_ (.A(_2107_), - .B(_2108_), + .X(_1673_)); + sky130_fd_sc_hd__o21ai_1 _2043_ (.A1(\vga.timing_v.pixel[2] ), + .A2(_1671_), + .B1(_1668_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2109_)); - sky130_fd_sc_hd__nand2_2 _2799_ (.A(net62), - .B(net76), + .Y(_1674_)); + sky130_fd_sc_hd__nor2_1 _2044_ (.A(_1673_), + .B(_1674_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2110_)); - sky130_fd_sc_hd__nor2_1 _2800_ (.A(_2107_), - .B(_2108_), + .Y(_0135_)); + sky130_fd_sc_hd__o21ai_1 _2045_ (.A1(\vga.timing_v.pixel[3] ), + .A2(_1673_), + .B1(_1668_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2111_)); - sky130_fd_sc_hd__xor2_1 _2801_ (.A(_2107_), - .B(_2108_), + .Y(_1675_)); + sky130_fd_sc_hd__a21oi_1 _2046_ (.A1(net271), + .A2(_1673_), + .B1(_1675_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2112_)); - sky130_fd_sc_hd__o21ai_1 _2802_ (.A1(_2110_), - .A2(_2111_), - .B1(_2109_), + .Y(_0136_)); + sky130_fd_sc_hd__a31o_1 _2047_ (.A1(\vga.timing_v.pixel[3] ), + .A2(\vga.timing_v.pixel[2] ), + .A3(_1671_), + .B1(\vga.timing_v.pixel[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2113_)); - sky130_fd_sc_hd__xnor2_1 _2803_ (.A(net69), - .B(_2068_), + .X(_1676_)); + sky130_fd_sc_hd__and2_1 _2048_ (.A(_1434_), + .B(_1671_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2114_)); - sky130_fd_sc_hd__and2b_1 _2804_ (.A_N(_2114_), - .B(_2113_), + .X(_1677_)); + sky130_fd_sc_hd__inv_2 _2049_ (.A(_1677_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2115_)); - sky130_fd_sc_hd__nand2_2 _2805_ (.A(net66), - .B(net71), + .Y(_1678_)); + sky130_fd_sc_hd__and3_1 _2050_ (.A(_1668_), + .B(_1676_), + .C(_1678_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2116_)); - sky130_fd_sc_hd__xnor2_1 _2806_ (.A(_1854_), - .B(_2077_), + .X(_0137_)); + sky130_fd_sc_hd__a22oi_1 _2051_ (.A1(\vga.timing_v.pixel[5] ), + .A2(_1668_), + .B1(_1677_), + .B2(_1667_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2117_)); - sky130_fd_sc_hd__and3_1 _2807_ (.A(net69), - .B(net75), - .C(_2117_), + .Y(_1679_)); + sky130_fd_sc_hd__a21oi_1 _2052_ (.A1(net256), + .A2(_1677_), + .B1(_1679_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2118_)); - sky130_fd_sc_hd__xnor2_1 _2808_ (.A(_2116_), - .B(_2117_), + .Y(_0138_)); + sky130_fd_sc_hd__a31o_1 _2053_ (.A1(\vga.timing_v.pixel[5] ), + .A2(_1434_), + .A3(_1671_), + .B1(\vga.timing_v.pixel[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2119_)); - sky130_fd_sc_hd__nor2_1 _2809_ (.A(_1851_), - .B(_1902_), + .X(_1680_)); + sky130_fd_sc_hd__and3_1 _2054_ (.A(\vga.timing_v.pixel[6] ), + .B(\vga.timing_v.pixel[5] ), + .C(_1677_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2120_)); - sky130_fd_sc_hd__nand2_1 _2810_ (.A(_2064_), - .B(_2110_), + .X(_1681_)); + sky130_fd_sc_hd__inv_2 _2055_ (.A(_1681_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2121_)); - sky130_fd_sc_hd__and2b_1 _2811_ (.A_N(_2120_), - .B(_2121_), + .Y(_1682_)); + sky130_fd_sc_hd__and3_1 _2056_ (.A(_1668_), + .B(_1680_), + .C(_1682_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2122_)); - sky130_fd_sc_hd__a31o_1 _2812_ (.A1(net55), - .A2(net86), - .A3(_2121_), - .B1(_2120_), + .X(_0139_)); + sky130_fd_sc_hd__and2_1 _2057_ (.A(\vga.timing_v.pixel[7] ), + .B(_1681_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2123_)); - sky130_fd_sc_hd__xor2_1 _2813_ (.A(_2119_), - .B(_2123_), + .X(_1683_)); + sky130_fd_sc_hd__o21ai_1 _2058_ (.A1(\vga.timing_v.pixel[7] ), + .A2(_1681_), + .B1(_1668_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2124_)); - sky130_fd_sc_hd__xnor2_1 _2814_ (.A(_2113_), - .B(_2114_), + .Y(_1684_)); + sky130_fd_sc_hd__nor2_1 _2059_ (.A(_1683_), + .B(_1684_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2125_)); - sky130_fd_sc_hd__a21o_1 _2815_ (.A1(_2124_), - .A2(_2125_), - .B1(_2115_), + .Y(_0140_)); + sky130_fd_sc_hd__o21ai_1 _2060_ (.A1(\vga.timing_v.pixel[8] ), + .A2(_1683_), + .B1(_1668_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2126_)); - sky130_fd_sc_hd__xnor2_1 _2816_ (.A(_2074_), - .B(_2083_), + .Y(_1685_)); + sky130_fd_sc_hd__a21oi_1 _2061_ (.A1(net226), + .A2(_1683_), + .B1(_1685_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2127_)); - sky130_fd_sc_hd__and2_1 _2817_ (.A(_2126_), - .B(_2127_), + .Y(_0141_)); + sky130_fd_sc_hd__a31o_1 _2062_ (.A1(\vga.timing_v.pixel[8] ), + .A2(\vga.timing_v.pixel[7] ), + .A3(_1681_), + .B1(\vga.timing_v.pixel[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2128_)); - sky130_fd_sc_hd__a21oi_1 _2818_ (.A1(_2119_), - .A2(_2123_), - .B1(_2118_), + .X(_1686_)); + sky130_fd_sc_hd__and2_1 _2063_ (.A(_1668_), + .B(_1686_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2129_)); - sky130_fd_sc_hd__nor2_2 _2819_ (.A(net41), - .B(_2129_), + .X(_0142_)); + sky130_fd_sc_hd__nor2_1 _2064_ (.A(net118), + .B(net34), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2130_)); - sky130_fd_sc_hd__and2_1 _2820_ (.A(net41), - .B(_2129_), + .Y(_1687_)); + sky130_fd_sc_hd__a22o_1 _2065_ (.A1(net118), + .A2(net133), + .B1(net221), + .B2(net19), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2131_)); - sky130_fd_sc_hd__nor2_1 _2821_ (.A(_2130_), - .B(_2131_), + .X(_0143_)); + sky130_fd_sc_hd__nand2_1 _2066_ (.A(\mandelbrot.alu.in_ci[0] ), + .B(\mandelbrot.alu.m3[13] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2132_)); - sky130_fd_sc_hd__xor2_1 _2822_ (.A(_2126_), - .B(_2127_), + .Y(_1688_)); + sky130_fd_sc_hd__or2_1 _2067_ (.A(\mandelbrot.alu.in_ci[0] ), + .B(\mandelbrot.alu.m3[13] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2133_)); - sky130_fd_sc_hd__a21o_1 _2823_ (.A1(_2132_), - .A2(_2133_), - .B1(_2128_), + .X(_1689_)); + sky130_fd_sc_hd__and2_1 _2068_ (.A(_1688_), + .B(_1689_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2134_)); - sky130_fd_sc_hd__xnor2_2 _2824_ (.A(_2090_), - .B(_2092_), + .X(_1690_)); + sky130_fd_sc_hd__nor2_1 _2069_ (.A(net106), + .B(net117), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2135_)); - sky130_fd_sc_hd__and2b_1 _2825_ (.A_N(_2135_), - .B(_2134_), + .Y(_1691_)); + sky130_fd_sc_hd__and3_1 _2070_ (.A(net35), + .B(_1690_), + .C(net91), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2136_)); - sky130_fd_sc_hd__xnor2_4 _2826_ (.A(_2134_), - .B(_2135_), + .X(_1692_)); + sky130_fd_sc_hd__a221o_1 _2071_ (.A1(net118), + .A2(\mandelbrot.alu.m2[2] ), + .B1(\mandelbrot.alu.m2[0] ), + .B2(net19), + .C1(_1692_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2137_)); - sky130_fd_sc_hd__a21oi_2 _2827_ (.A1(_2130_), - .A2(_2137_), - .B1(_2136_), + .X(_0144_)); + sky130_fd_sc_hd__and2_1 _2072_ (.A(\mandelbrot.alu.in_ci[1] ), + .B(\mandelbrot.alu.m3[14] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2138_)); - sky130_fd_sc_hd__xnor2_4 _2828_ (.A(_2101_), - .B(_2138_), + .X(_1693_)); + sky130_fd_sc_hd__xor2_1 _2073_ (.A(\mandelbrot.alu.in_ci[1] ), + .B(\mandelbrot.alu.m3[14] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2139_)); - sky130_fd_sc_hd__xnor2_4 _2829_ (.A(_2130_), - .B(_2137_), + .X(_1694_)); + sky130_fd_sc_hd__xnor2_1 _2074_ (.A(_1688_), + .B(_1694_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2140_)); - sky130_fd_sc_hd__nand2_1 _2830_ (.A(net94), - .B(net60), + .Y(_1695_)); + sky130_fd_sc_hd__and3_1 _2075_ (.A(net35), + .B(net91), + .C(_1695_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2141_)); - sky130_fd_sc_hd__and3_2 _2831_ (.A(net94), - .B(net60), - .C(_2102_), + .X(_1696_)); + sky130_fd_sc_hd__a221o_1 _2076_ (.A1(net117), + .A2(\mandelbrot.alu.m2[3] ), + .B1(net132), + .B2(net18), + .C1(_1696_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2142_)); - sky130_fd_sc_hd__xnor2_1 _2832_ (.A(_2105_), - .B(_2106_), + .X(_0145_)); + sky130_fd_sc_hd__a31o_1 _2077_ (.A1(\mandelbrot.alu.in_ci[0] ), + .A2(\mandelbrot.alu.m3[13] ), + .A3(_1694_), + .B1(_1693_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2143_)); - sky130_fd_sc_hd__and2_1 _2833_ (.A(_2142_), - .B(_2143_), + .X(_1697_)); + sky130_fd_sc_hd__nand2_1 _2078_ (.A(\mandelbrot.alu.in_ci[2] ), + .B(\mandelbrot.alu.m3[15] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2144_)); - sky130_fd_sc_hd__xnor2_1 _2834_ (.A(_2142_), - .B(_2143_), + .Y(_1698_)); + sky130_fd_sc_hd__or2_1 _2079_ (.A(\mandelbrot.alu.in_ci[2] ), + .B(\mandelbrot.alu.m3[15] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2145_)); - sky130_fd_sc_hd__nand2_1 _2835_ (.A(net69), - .B(net82), + .X(_1699_)); + sky130_fd_sc_hd__nand2_1 _2080_ (.A(_1698_), + .B(_1699_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2146_)); - sky130_fd_sc_hd__nor2_1 _2836_ (.A(_2110_), - .B(_2146_), + .Y(_1700_)); + sky130_fd_sc_hd__xnor2_1 _2081_ (.A(_1697_), + .B(_1700_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2147_)); - sky130_fd_sc_hd__o2bb2a_1 _2837_ (.A1_N(net76), - .A2_N(net68), - .B1(_1821_), - .B2(_1818_), + .Y(_1701_)); + sky130_fd_sc_hd__and3_1 _2082_ (.A(net35), + .B(net91), + .C(_1701_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2148_)); - sky130_fd_sc_hd__nor2_1 _2838_ (.A(_2147_), - .B(_2148_), + .X(_1702_)); + sky130_fd_sc_hd__a221o_1 _2083_ (.A1(net117), + .A2(\mandelbrot.alu.m2[4] ), + .B1(\mandelbrot.alu.m2[2] ), + .B2(net18), + .C1(_1702_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2149_)); - sky130_fd_sc_hd__xnor2_1 _2839_ (.A(net75), - .B(_2149_), + .X(_0146_)); + sky130_fd_sc_hd__nand2_1 _2084_ (.A(\mandelbrot.alu.in_ci[3] ), + .B(\mandelbrot.alu.m3[16] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2150_)); - sky130_fd_sc_hd__o21bai_1 _2840_ (.A1(_2145_), - .A2(_2150_), - .B1_N(_2144_), + .Y(_1703_)); + sky130_fd_sc_hd__or2_1 _2085_ (.A(\mandelbrot.alu.in_ci[3] ), + .B(\mandelbrot.alu.m3[16] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2151_)); - sky130_fd_sc_hd__xnor2_1 _2841_ (.A(_2110_), - .B(_2112_), + .X(_1704_)); + sky130_fd_sc_hd__nand2_1 _2086_ (.A(_1703_), + .B(_1704_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2152_)); - sky130_fd_sc_hd__nand2_1 _2842_ (.A(_2151_), - .B(_2152_), + .Y(_1705_)); + sky130_fd_sc_hd__a21bo_1 _2087_ (.A1(_1697_), + .A2(_1699_), + .B1_N(_1698_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2153_)); - sky130_fd_sc_hd__o21ba_1 _2843_ (.A1(_2105_), - .A2(_2148_), - .B1_N(_2147_), + .X(_1706_)); + sky130_fd_sc_hd__xnor2_1 _2088_ (.A(_1705_), + .B(_1706_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2154_)); - sky130_fd_sc_hd__a21o_1 _2844_ (.A1(net75), - .A2(_2149_), - .B1(_2147_), + .Y(_1707_)); + sky130_fd_sc_hd__and3_1 _2089_ (.A(net34), + .B(net91), + .C(_1707_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2155_)); - sky130_fd_sc_hd__xnor2_1 _2845_ (.A(_2061_), - .B(_2122_), + .X(_1708_)); + sky130_fd_sc_hd__a221o_1 _2090_ (.A1(net117), + .A2(\mandelbrot.alu.m2[5] ), + .B1(\mandelbrot.alu.m2[3] ), + .B2(net18), + .C1(_1708_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2156_)); - sky130_fd_sc_hd__xor2_1 _2846_ (.A(_2155_), - .B(_2156_), + .X(_0147_)); + sky130_fd_sc_hd__a21bo_1 _2091_ (.A1(_1704_), + .A2(_1706_), + .B1_N(_1703_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2157_)); - sky130_fd_sc_hd__and2b_1 _2847_ (.A_N(_2154_), - .B(_2157_), + .X(_1709_)); + sky130_fd_sc_hd__and2_1 _2092_ (.A(\mandelbrot.alu.in_ci[4] ), + .B(\mandelbrot.alu.m3[17] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2158_)); - sky130_fd_sc_hd__xnor2_1 _2848_ (.A(_2154_), - .B(_2157_), + .X(_1710_)); + sky130_fd_sc_hd__nor2_1 _2093_ (.A(\mandelbrot.alu.in_ci[4] ), + .B(\mandelbrot.alu.m3[17] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2159_)); - sky130_fd_sc_hd__xor2_1 _2849_ (.A(_2151_), - .B(_2152_), + .Y(_1711_)); + sky130_fd_sc_hd__nor2_1 _2094_ (.A(_1710_), + .B(_1711_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2160_)); - sky130_fd_sc_hd__a21boi_1 _2850_ (.A1(_2159_), - .A2(_2160_), - .B1_N(_2153_), + .Y(_1712_)); + sky130_fd_sc_hd__xor2_1 _2095_ (.A(_1709_), + .B(_1712_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2161_)); - sky130_fd_sc_hd__xnor2_1 _2851_ (.A(_2124_), - .B(_2125_), + .X(_1713_)); + sky130_fd_sc_hd__and3_1 _2096_ (.A(net35), + .B(net91), + .C(_1713_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2162_)); - sky130_fd_sc_hd__nor2_1 _2852_ (.A(_2161_), - .B(_2162_), + .X(_1714_)); + sky130_fd_sc_hd__a221o_1 _2097_ (.A1(net117), + .A2(\mandelbrot.alu.m2[6] ), + .B1(\mandelbrot.alu.m2[4] ), + .B2(net18), + .C1(_1714_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2163_)); - sky130_fd_sc_hd__a21oi_1 _2853_ (.A1(_2155_), - .A2(_2156_), - .B1(_2158_), + .X(_0148_)); + sky130_fd_sc_hd__a21o_1 _2098_ (.A1(_1709_), + .A2(_1712_), + .B1(_1710_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2164_)); - sky130_fd_sc_hd__nor2_1 _2854_ (.A(net41), - .B(_2164_), + .X(_1715_)); + sky130_fd_sc_hd__nor2_1 _2099_ (.A(\mandelbrot.alu.in_ci[5] ), + .B(\mandelbrot.alu.m3[18] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2165_)); - sky130_fd_sc_hd__xor2_1 _2855_ (.A(net41), - .B(_2164_), + .Y(_1716_)); + sky130_fd_sc_hd__inv_2 _2100_ (.A(_1716_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2166_)); - sky130_fd_sc_hd__xor2_1 _2856_ (.A(_2161_), - .B(_2162_), + .Y(_1717_)); + sky130_fd_sc_hd__and2_1 _2101_ (.A(\mandelbrot.alu.in_ci[5] ), + .B(\mandelbrot.alu.m3[18] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2167_)); - sky130_fd_sc_hd__and2_1 _2857_ (.A(_2166_), - .B(_2167_), + .X(_1718_)); + sky130_fd_sc_hd__or2_1 _2102_ (.A(_1716_), + .B(_1718_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2168_)); - sky130_fd_sc_hd__xor2_1 _2858_ (.A(_2132_), - .B(_2133_), + .X(_1719_)); + sky130_fd_sc_hd__xnor2_1 _2103_ (.A(_1715_), + .B(_1719_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2169_)); - sky130_fd_sc_hd__o21a_1 _2859_ (.A1(_2163_), - .A2(_2168_), - .B1(_2169_), + .Y(_1720_)); + sky130_fd_sc_hd__and3_1 _2104_ (.A(net35), + .B(net92), + .C(_1720_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2170_)); - sky130_fd_sc_hd__or3_1 _2860_ (.A(_2163_), - .B(_2168_), - .C(_2169_), + .X(_1721_)); + sky130_fd_sc_hd__a221o_1 _2105_ (.A1(net117), + .A2(\mandelbrot.alu.m2[7] ), + .B1(\mandelbrot.alu.m2[5] ), + .B2(net18), + .C1(_1721_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2171_)); - sky130_fd_sc_hd__and2b_1 _2861_ (.A_N(_2170_), - .B(_2171_), + .X(_0149_)); + sky130_fd_sc_hd__and2_1 _2106_ (.A(\mandelbrot.alu.in_ci[6] ), + .B(\mandelbrot.alu.m3[19] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2172_)); - sky130_fd_sc_hd__a21oi_4 _2862_ (.A1(_2165_), - .A2(_2171_), - .B1(_2170_), + .X(_1722_)); + sky130_fd_sc_hd__or2_1 _2107_ (.A(\mandelbrot.alu.in_ci[6] ), + .B(\mandelbrot.alu.m3[19] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2173_)); - sky130_fd_sc_hd__nor2_1 _2863_ (.A(_2140_), - .B(_2173_), + .X(_1723_)); + sky130_fd_sc_hd__nand2b_1 _2108_ (.A_N(_1722_), + .B(_1723_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2174_)); - sky130_fd_sc_hd__xnor2_1 _2864_ (.A(_2165_), - .B(_2172_), + .Y(_1724_)); + sky130_fd_sc_hd__a211o_1 _2109_ (.A1(_1709_), + .A2(_1712_), + .B1(_1718_), + .C1(_1710_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2175_)); - sky130_fd_sc_hd__nand2_1 _2865_ (.A(net86), - .B(net64), + .X(_1725_)); + sky130_fd_sc_hd__nand2_1 _2110_ (.A(_1717_), + .B(_1725_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2176_)); - sky130_fd_sc_hd__nand2_2 _2866_ (.A(net76), - .B(net71), + .Y(_1726_)); + sky130_fd_sc_hd__xor2_1 _2111_ (.A(_1724_), + .B(_1726_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2177_)); - sky130_fd_sc_hd__nand2_1 _2867_ (.A(net75), - .B(net82), + .X(_0292_)); + sky130_fd_sc_hd__and3_1 _2112_ (.A(net35), + .B(net91), + .C(_0292_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2178_)); - sky130_fd_sc_hd__and3_1 _2868_ (.A(\mandelbrot.alu.in_zr[4] ), - .B(\mandelbrot.alu.in_zr[5] ), - .C(net82), + .X(_0293_)); + sky130_fd_sc_hd__a221o_1 _2113_ (.A1(net117), + .A2(\mandelbrot.alu.m2[8] ), + .B1(\mandelbrot.alu.m2[6] ), + .B2(net18), + .C1(_0293_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2179_)); - sky130_fd_sc_hd__a22o_1 _2869_ (.A1(_2146_), - .A2(_2177_), - .B1(_2179_), - .B2(net69), + .X(_0150_)); + sky130_fd_sc_hd__nand2_1 _2114_ (.A(\mandelbrot.alu.in_ci[7] ), + .B(\mandelbrot.alu.m3[20] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2180_)); - sky130_fd_sc_hd__nor2_1 _2870_ (.A(_2176_), - .B(_2180_), + .Y(_0294_)); + sky130_fd_sc_hd__or2_1 _2115_ (.A(\mandelbrot.alu.in_ci[7] ), + .B(\mandelbrot.alu.m3[20] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2181_)); - sky130_fd_sc_hd__xor2_2 _2871_ (.A(_2176_), - .B(_2180_), + .X(_0295_)); + sky130_fd_sc_hd__nand2_1 _2116_ (.A(_0294_), + .B(_0295_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2182_)); - sky130_fd_sc_hd__o2bb2a_1 _2872_ (.A1_N(net96), - .A2_N(net55), - .B1(_1815_), - .B2(_1816_), + .Y(_0296_)); + sky130_fd_sc_hd__a31o_1 _2117_ (.A1(_1717_), + .A2(_1723_), + .A3(_1725_), + .B1(_1722_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2183_)); - sky130_fd_sc_hd__or2_1 _2873_ (.A(_2142_), - .B(_2183_), + .X(_0297_)); + sky130_fd_sc_hd__xnor2_1 _2118_ (.A(_0296_), + .B(_0297_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2184_)); - sky130_fd_sc_hd__or3b_1 _2874_ (.A(_2142_), - .B(_2183_), - .C_N(_2182_), + .Y(_0298_)); + sky130_fd_sc_hd__and3_1 _2119_ (.A(net34), + .B(net91), + .C(_0298_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2185_)); - sky130_fd_sc_hd__xnor2_1 _2875_ (.A(_2145_), - .B(_2150_), + .X(_0299_)); + sky130_fd_sc_hd__a221o_1 _2120_ (.A1(net117), + .A2(\mandelbrot.alu.m2[9] ), + .B1(\mandelbrot.alu.m2[7] ), + .B2(net18), + .C1(_0299_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2186_)); - sky130_fd_sc_hd__nor2_1 _2876_ (.A(_2185_), - .B(_2186_), + .X(_0151_)); + sky130_fd_sc_hd__and2_1 _2121_ (.A(\mandelbrot.alu.in_ci[8] ), + .B(\mandelbrot.alu.m3[21] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2187_)); - sky130_fd_sc_hd__xnor2_1 _2877_ (.A(_2105_), - .B(_2149_), + .X(_0300_)); + sky130_fd_sc_hd__nor2_1 _2122_ (.A(\mandelbrot.alu.in_ci[8] ), + .B(\mandelbrot.alu.m3[21] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2188_)); - sky130_fd_sc_hd__xor2_1 _2878_ (.A(_2185_), - .B(_2186_), + .Y(_0301_)); + sky130_fd_sc_hd__nor2_1 _2123_ (.A(_0300_), + .B(_0301_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2189_)); - sky130_fd_sc_hd__a21oi_1 _2879_ (.A1(_2188_), - .A2(_2189_), - .B1(_2187_), + .Y(_0302_)); + sky130_fd_sc_hd__a21bo_1 _2124_ (.A1(_0295_), + .A2(_0297_), + .B1_N(_0294_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2190_)); - sky130_fd_sc_hd__xnor2_1 _2880_ (.A(_2159_), - .B(_2160_), + .X(_0303_)); + sky130_fd_sc_hd__xor2_1 _2125_ (.A(_0302_), + .B(_0303_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2191_)); - sky130_fd_sc_hd__or2_1 _2881_ (.A(_2190_), - .B(_2191_), + .X(_0304_)); + sky130_fd_sc_hd__and3_1 _2126_ (.A(net34), + .B(net91), + .C(_0304_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2192_)); - sky130_fd_sc_hd__xnor2_1 _2882_ (.A(_2190_), - .B(_2191_), + .X(_0305_)); + sky130_fd_sc_hd__a221o_1 _2127_ (.A1(net117), + .A2(\mandelbrot.alu.m2[10] ), + .B1(\mandelbrot.alu.m2[8] ), + .B2(net18), + .C1(_0305_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2193_)); - sky130_fd_sc_hd__and2_1 _2883_ (.A(net94), - .B(net90), + .X(_0152_)); + sky130_fd_sc_hd__a21oi_1 _2128_ (.A1(_0302_), + .A2(_0303_), + .B1(_0300_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2194_)); - sky130_fd_sc_hd__nand2_1 _2884_ (.A(net95), - .B(net90), + .Y(_0306_)); + sky130_fd_sc_hd__nor2_1 _2129_ (.A(\mandelbrot.alu.in_ci[9] ), + .B(\mandelbrot.alu.m3[22] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2195_)); - sky130_fd_sc_hd__nor2_1 _2885_ (.A(net41), - .B(_2194_), + .Y(_0307_)); + sky130_fd_sc_hd__and2_1 _2130_ (.A(\mandelbrot.alu.in_ci[9] ), + .B(\mandelbrot.alu.m3[22] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2196_)); - sky130_fd_sc_hd__a21o_1 _2886_ (.A1(net69), - .A2(_2179_), - .B1(_2181_), + .X(_0308_)); + sky130_fd_sc_hd__nor2_1 _2131_ (.A(_0307_), + .B(_0308_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2197_)); - sky130_fd_sc_hd__xnor2_1 _2887_ (.A(_2196_), - .B(_2197_), + .Y(_0309_)); + sky130_fd_sc_hd__xnor2_1 _2132_ (.A(_0306_), + .B(_0309_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2198_)); - sky130_fd_sc_hd__o21ai_1 _2888_ (.A1(net94), - .A2(_2102_), - .B1(net52), + .Y(_0310_)); + sky130_fd_sc_hd__and3_1 _2133_ (.A(net34), + .B(net91), + .C(_0310_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2199_)); - sky130_fd_sc_hd__nor2_1 _2889_ (.A(_2198_), - .B(_2199_), + .X(_0311_)); + sky130_fd_sc_hd__a221o_1 _2134_ (.A1(net117), + .A2(\mandelbrot.alu.m2[11] ), + .B1(\mandelbrot.alu.m2[9] ), + .B2(net18), + .C1(_0311_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2200_)); - sky130_fd_sc_hd__and2_1 _2890_ (.A(_2198_), - .B(_2199_), + .X(_0153_)); + sky130_fd_sc_hd__nand2_1 _2135_ (.A(\mandelbrot.alu.in_ci[10] ), + .B(\mandelbrot.alu.m3[23] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2201_)); - sky130_fd_sc_hd__nor2_1 _2891_ (.A(_2200_), - .B(_2201_), + .Y(_0312_)); + sky130_fd_sc_hd__or2_1 _2136_ (.A(\mandelbrot.alu.in_ci[10] ), + .B(\mandelbrot.alu.m3[23] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2202_)); - sky130_fd_sc_hd__nand2b_1 _2892_ (.A_N(_2193_), - .B(_2202_), + .X(_0313_)); + sky130_fd_sc_hd__nand2_1 _2137_ (.A(_0312_), + .B(_0313_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2203_)); - sky130_fd_sc_hd__xnor2_1 _2893_ (.A(_2166_), - .B(_2167_), + .Y(_0314_)); + sky130_fd_sc_hd__o21ba_1 _2138_ (.A1(_0306_), + .A2(_0307_), + .B1_N(_0308_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2204_)); - sky130_fd_sc_hd__a21o_1 _2894_ (.A1(_2192_), - .A2(_2203_), - .B1(_2204_), + .X(_0315_)); + sky130_fd_sc_hd__xor2_1 _2139_ (.A(_0314_), + .B(_0315_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2205_)); - sky130_fd_sc_hd__a21o_1 _2895_ (.A1(_2196_), - .A2(_2197_), - .B1(_2200_), + .X(_0316_)); + sky130_fd_sc_hd__and3_1 _2140_ (.A(net34), + .B(net91), + .C(_0316_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2206_)); - sky130_fd_sc_hd__nand3_1 _2896_ (.A(_2192_), - .B(_2203_), - .C(_2204_), + .X(_0317_)); + sky130_fd_sc_hd__a221o_1 _2141_ (.A1(net118), + .A2(\mandelbrot.alu.m2[12] ), + .B1(\mandelbrot.alu.m2[10] ), + .B2(net18), + .C1(_0317_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2207_)); - sky130_fd_sc_hd__and2_1 _2897_ (.A(_2205_), - .B(_2207_), + .X(_0154_)); + sky130_fd_sc_hd__o21a_1 _2142_ (.A1(_0314_), + .A2(_0315_), + .B1(_0312_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2208_)); - sky130_fd_sc_hd__nand2_1 _2898_ (.A(_2206_), - .B(_2208_), + .X(_0318_)); + sky130_fd_sc_hd__nor2_1 _2143_ (.A(\mandelbrot.alu.in_ci[11] ), + .B(\mandelbrot.alu.m3[24] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2209_)); - sky130_fd_sc_hd__a21oi_1 _2899_ (.A1(_2205_), - .A2(_2209_), - .B1(_2175_), + .Y(_0319_)); + sky130_fd_sc_hd__nand2_1 _2144_ (.A(\mandelbrot.alu.in_ci[11] ), + .B(\mandelbrot.alu.m3[24] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2210_)); - sky130_fd_sc_hd__nand3_2 _2900_ (.A(_2175_), - .B(_2205_), - .C(_2209_), + .Y(_0320_)); + sky130_fd_sc_hd__and2b_1 _2145_ (.A_N(_0319_), + .B(_0320_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2211_)); - sky130_fd_sc_hd__xnor2_2 _2901_ (.A(_2206_), - .B(_2208_), + .X(_0321_)); + sky130_fd_sc_hd__xnor2_1 _2146_ (.A(_0318_), + .B(_0321_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2212_)); - sky130_fd_sc_hd__xor2_1 _2902_ (.A(_2182_), - .B(_2184_), + .Y(_0322_)); + sky130_fd_sc_hd__and3_1 _2147_ (.A(net34), + .B(net92), + .C(_0322_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2213_)); - sky130_fd_sc_hd__nand2_1 _2903_ (.A(net86), - .B(net69), + .X(_0323_)); + sky130_fd_sc_hd__a221o_1 _2148_ (.A1(net118), + .A2(\mandelbrot.alu.m2[13] ), + .B1(\mandelbrot.alu.m2[11] ), + .B2(net19), + .C1(_0323_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2214_)); - sky130_fd_sc_hd__nand2_1 _2904_ (.A(net90), - .B(net64), + .X(_0155_)); + sky130_fd_sc_hd__or2_1 _2149_ (.A(\mandelbrot.alu.in_ci[12] ), + .B(\mandelbrot.alu.m3[25] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2215_)); - sky130_fd_sc_hd__nand2_4 _2905_ (.A(net91), - .B(net87), + .X(_0324_)); + sky130_fd_sc_hd__nand2_1 _2150_ (.A(\mandelbrot.alu.in_ci[12] ), + .B(\mandelbrot.alu.m3[25] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2216_)); - sky130_fd_sc_hd__a2bb2o_1 _2906_ (.A1_N(_1859_), - .A2_N(_2216_), - .B1(_2215_), - .B2(_2214_), + .Y(_0325_)); + sky130_fd_sc_hd__nand2_1 _2151_ (.A(_0324_), + .B(_0325_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2217_)); - sky130_fd_sc_hd__xor2_1 _2907_ (.A(_2178_), - .B(_2217_), + .Y(_0326_)); + sky130_fd_sc_hd__o211a_1 _2152_ (.A1(_0314_), + .A2(_0315_), + .B1(_0320_), + .C1(_0312_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2218_)); - sky130_fd_sc_hd__nand2b_1 _2908_ (.A_N(_2141_), - .B(_2218_), + .X(_0327_)); + sky130_fd_sc_hd__or3_1 _2153_ (.A(_0319_), + .B(_0326_), + .C(_0327_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2219_)); - sky130_fd_sc_hd__nor2_1 _2909_ (.A(_2213_), - .B(_2219_), + .X(_0328_)); + sky130_fd_sc_hd__o21ai_1 _2154_ (.A1(_0319_), + .A2(_0327_), + .B1(_0326_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2220_)); - sky130_fd_sc_hd__xor2_1 _2910_ (.A(_2213_), - .B(_2219_), + .Y(_0329_)); + sky130_fd_sc_hd__and2_1 _2155_ (.A(_0328_), + .B(_0329_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2221_)); - sky130_fd_sc_hd__a21oi_1 _2911_ (.A1(net75), - .A2(net82), - .B1(net79), + .X(_0330_)); + sky130_fd_sc_hd__and3_1 _2156_ (.A(net34), + .B(net92), + .C(_0330_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2222_)); - sky130_fd_sc_hd__or3_1 _2912_ (.A(_2179_), - .B(_2214_), - .C(_2222_), + .X(_0331_)); + sky130_fd_sc_hd__a221o_1 _2157_ (.A1(net118), + .A2(\mandelbrot.alu.m2[14] ), + .B1(\mandelbrot.alu.m2[12] ), + .B2(net19), + .C1(_0331_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2223_)); - sky130_fd_sc_hd__and2b_1 _2913_ (.A_N(_2179_), - .B(_2223_), + .X(_0156_)); + sky130_fd_sc_hd__nor2_1 _2158_ (.A(\mandelbrot.alu.in_ci[13] ), + .B(\mandelbrot.alu.m3[26] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2224_)); - sky130_fd_sc_hd__o22ai_2 _2914_ (.A1(_1859_), - .A2(_2216_), - .B1(_2217_), - .B2(_2178_), + .Y(_0332_)); + sky130_fd_sc_hd__nand2_1 _2159_ (.A(\mandelbrot.alu.in_ci[13] ), + .B(\mandelbrot.alu.m3[26] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2225_)); - sky130_fd_sc_hd__and2_1 _2915_ (.A(_2182_), - .B(_2225_), + .Y(_0333_)); + sky130_fd_sc_hd__nand2b_1 _2160_ (.A_N(_0332_), + .B(_0333_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2226_)); - sky130_fd_sc_hd__xor2_1 _2916_ (.A(_2182_), - .B(_2225_), + .Y(_0334_)); + sky130_fd_sc_hd__nand2_1 _2161_ (.A(_0325_), + .B(_0328_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2227_)); - sky130_fd_sc_hd__and2b_1 _2917_ (.A_N(_2224_), - .B(_2227_), + .Y(_0335_)); + sky130_fd_sc_hd__xnor2_1 _2162_ (.A(_0334_), + .B(_0335_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2228_)); - sky130_fd_sc_hd__xnor2_1 _2918_ (.A(_2224_), - .B(_2227_), + .Y(_0336_)); + sky130_fd_sc_hd__and3_1 _2163_ (.A(net34), + .B(net92), + .C(_0336_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2229_)); - sky130_fd_sc_hd__a21oi_1 _2919_ (.A1(_2221_), - .A2(_2229_), - .B1(_2220_), + .X(_0337_)); + sky130_fd_sc_hd__a221o_1 _2164_ (.A1(net118), + .A2(\mandelbrot.alu.m2[15] ), + .B1(\mandelbrot.alu.m2[13] ), + .B2(net19), + .C1(_0337_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2230_)); - sky130_fd_sc_hd__xnor2_1 _2920_ (.A(_2188_), - .B(_2189_), + .X(_0157_)); + sky130_fd_sc_hd__nor2_1 _2165_ (.A(\mandelbrot.alu.in_ci[14] ), + .B(\mandelbrot.alu.m3[27] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2231_)); - sky130_fd_sc_hd__nor2_1 _2921_ (.A(_2230_), - .B(_2231_), + .Y(_0338_)); + sky130_fd_sc_hd__nand2_1 _2166_ (.A(\mandelbrot.alu.in_ci[14] ), + .B(\mandelbrot.alu.m3[27] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2232_)); - sky130_fd_sc_hd__xnor2_1 _2922_ (.A(_2230_), - .B(_2231_), + .Y(_0339_)); + sky130_fd_sc_hd__and2b_1 _2167_ (.A_N(_0338_), + .B(_0339_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2233_)); - sky130_fd_sc_hd__o21a_1 _2923_ (.A1(_2226_), - .A2(_2228_), - .B1(_2106_), + .X(_0340_)); + sky130_fd_sc_hd__a31o_1 _2168_ (.A1(_0325_), + .A2(_0328_), + .A3(_0333_), + .B1(_0332_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2234_)); - sky130_fd_sc_hd__nor3_1 _2924_ (.A(_2106_), - .B(_2226_), - .C(_2228_), + .X(_0341_)); + sky130_fd_sc_hd__xnor2_1 _2169_ (.A(_0340_), + .B(_0341_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2235_)); - sky130_fd_sc_hd__nor2_1 _2925_ (.A(_2234_), - .B(_2235_), + .Y(_0342_)); + sky130_fd_sc_hd__or2_1 _2170_ (.A(\mandelbrot.alu.m2[0] ), + .B(\mandelbrot.alu.mult_zi_zi.shift_reg[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2236_)); - sky130_fd_sc_hd__xnor2_1 _2926_ (.A(_2142_), - .B(_2236_), + .X(_0343_)); + sky130_fd_sc_hd__nand2_1 _2171_ (.A(\mandelbrot.alu.m2[0] ), + .B(\mandelbrot.alu.mult_zi_zi.shift_reg[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2237_)); - sky130_fd_sc_hd__o21ba_1 _2927_ (.A1(_2233_), - .A2(_2237_), - .B1_N(_2232_), + .Y(_0344_)); + sky130_fd_sc_hd__mux2_1 _2172_ (.A0(_0343_), + .A1(_0344_), + .S(net133), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2238_)); - sky130_fd_sc_hd__xnor2_1 _2928_ (.A(_2193_), - .B(_2202_), + .X(_0345_)); + sky130_fd_sc_hd__mux2_1 _2173_ (.A0(_0344_), + .A1(_0343_), + .S(net132), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2239_)); - sky130_fd_sc_hd__nand2b_1 _2929_ (.A_N(_2238_), - .B(_2239_), + .X(_0346_)); + sky130_fd_sc_hd__nand4_1 _2174_ (.A(\mandelbrot.alu.m2[16] ), + .B(net110), + .C(_0343_), + .D(_0344_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2240_)); - sky130_fd_sc_hd__or2_1 _2930_ (.A(_2142_), - .B(_2234_), + .Y(_0347_)); + sky130_fd_sc_hd__a31o_1 _2175_ (.A1(net110), + .A2(_0343_), + .A3(_0344_), + .B1(\mandelbrot.alu.m2[16] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2241_)); - sky130_fd_sc_hd__xnor2_1 _2931_ (.A(_2238_), - .B(_2239_), + .X(_0348_)); + sky130_fd_sc_hd__a32o_1 _2176_ (.A1(net118), + .A2(_0347_), + .A3(_0348_), + .B1(net92), + .B2(_0342_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2242_)); - sky130_fd_sc_hd__a21boi_2 _2932_ (.A1(_2241_), - .A2(_2242_), - .B1_N(_2240_), + .X(_0349_)); + sky130_fd_sc_hd__mux2_1 _2177_ (.A0(_0349_), + .A1(\mandelbrot.alu.m2[14] ), + .S(net19), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2243_)); - sky130_fd_sc_hd__nor2_1 _2933_ (.A(_2212_), - .B(_2243_), + .X(_0158_)); + sky130_fd_sc_hd__nor2_1 _2178_ (.A(\mandelbrot.alu.in_ci[15] ), + .B(\mandelbrot.alu.m3[28] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2244_)); - sky130_fd_sc_hd__xor2_2 _2934_ (.A(_2212_), - .B(_2243_), + .Y(_0350_)); + sky130_fd_sc_hd__and2_1 _2179_ (.A(\mandelbrot.alu.in_ci[15] ), + .B(\mandelbrot.alu.m3[28] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2245_)); - sky130_fd_sc_hd__xnor2_1 _2935_ (.A(_2241_), - .B(_2242_), + .X(_0351_)); + sky130_fd_sc_hd__or2_1 _2180_ (.A(_0350_), + .B(_0351_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2246_)); - sky130_fd_sc_hd__xnor2_1 _2936_ (.A(_2221_), - .B(_2229_), + .X(_0352_)); + sky130_fd_sc_hd__o21ai_2 _2181_ (.A1(_0338_), + .A2(_0341_), + .B1(_0339_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2247_)); - sky130_fd_sc_hd__xnor2_1 _2937_ (.A(_2141_), - .B(_2218_), + .Y(_0353_)); + sky130_fd_sc_hd__xnor2_2 _2182_ (.A(_0352_), + .B(_0353_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2248_)); - sky130_fd_sc_hd__and4_1 _2938_ (.A(net94), - .B(net90), - .C(net64), - .D(net69), + .Y(_0354_)); + sky130_fd_sc_hd__and3_1 _2183_ (.A(\mandelbrot.alu.m2[16] ), + .B(net110), + .C(net76), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2249_)); - sky130_fd_sc_hd__a22o_1 _2939_ (.A1(net94), - .A2(net64), - .B1(net70), - .B2(net90), + .X(_0355_)); + sky130_fd_sc_hd__xor2_1 _2184_ (.A(net110), + .B(\mandelbrot.alu.in_zi[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2250_)); - sky130_fd_sc_hd__nand2b_1 _2940_ (.A_N(_2249_), - .B(_2250_), + .X(_0356_)); + sky130_fd_sc_hd__mux2_1 _2185_ (.A0(\mandelbrot.alu.in_zi[1] ), + .A1(_0356_), + .S(net132), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2251_)); - sky130_fd_sc_hd__and2_1 _2941_ (.A(net86), - .B(net75), + .X(_0357_)); + sky130_fd_sc_hd__mux2_1 _2186_ (.A0(\mandelbrot.alu.in_zi[0] ), + .A1(_0357_), + .S(net76), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2252_)); - sky130_fd_sc_hd__nand2_1 _2942_ (.A(net87), - .B(net75), + .X(_0358_)); + sky130_fd_sc_hd__and2_1 _2187_ (.A(\mandelbrot.alu.m2[17] ), + .B(_0358_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2253_)); - sky130_fd_sc_hd__a21o_1 _2943_ (.A1(_2250_), - .A2(_2252_), - .B1(_2249_), + .X(_0359_)); + sky130_fd_sc_hd__xnor2_1 _2188_ (.A(_1407_), + .B(_0358_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2254_)); - sky130_fd_sc_hd__o21ai_1 _2944_ (.A1(_2179_), - .A2(_2222_), - .B1(_2214_), + .Y(_0360_)); + sky130_fd_sc_hd__xor2_1 _2189_ (.A(_0355_), + .B(_0360_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2255_)); - sky130_fd_sc_hd__nand3_1 _2945_ (.A(_2223_), - .B(_2254_), - .C(_2255_), + .X(_0361_)); + sky130_fd_sc_hd__mux2_1 _2190_ (.A0(\mandelbrot.alu.m2[17] ), + .A1(_0361_), + .S(net79), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2256_)); - sky130_fd_sc_hd__a21o_1 _2946_ (.A1(_2223_), - .A2(_2255_), - .B1(_2254_), + .X(_0362_)); + sky130_fd_sc_hd__a22o_1 _2191_ (.A1(net92), + .A2(_0354_), + .B1(_0362_), + .B2(net118), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2257_)); - sky130_fd_sc_hd__nand3_1 _2947_ (.A(_1850_), - .B(_2256_), - .C(_2257_), + .X(_0363_)); + sky130_fd_sc_hd__mux2_1 _2192_ (.A0(_0363_), + .A1(\mandelbrot.alu.m2[15] ), + .S(net19), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2258_)); - sky130_fd_sc_hd__a21o_1 _2948_ (.A1(_2256_), - .A2(_2257_), - .B1(_1850_), + .X(_0159_)); + sky130_fd_sc_hd__or3_1 _2193_ (.A(net110), + .B(\mandelbrot.alu.in_zi[1] ), + .C(\mandelbrot.alu.in_zi[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2259_)); - sky130_fd_sc_hd__nand3_2 _2949_ (.A(_2248_), - .B(_2258_), - .C(_2259_), + .X(_0364_)); + sky130_fd_sc_hd__o21ai_1 _2194_ (.A1(net110), + .A2(\mandelbrot.alu.in_zi[1] ), + .B1(\mandelbrot.alu.in_zi[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2260_)); - sky130_fd_sc_hd__or2_1 _2950_ (.A(_2247_), - .B(_2260_), + .Y(_0365_)); + sky130_fd_sc_hd__and2b_1 _2195_ (.A_N(net132), + .B(\mandelbrot.alu.in_zi[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2261_)); - sky130_fd_sc_hd__xnor2_2 _2951_ (.A(_2247_), - .B(_2260_), + .X(_0366_)); + sky130_fd_sc_hd__a31o_1 _2196_ (.A1(net132), + .A2(_0364_), + .A3(_0365_), + .B1(_0366_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2262_)); - sky130_fd_sc_hd__nor2_1 _2952_ (.A(_1902_), - .B(net39), + .X(_0367_)); + sky130_fd_sc_hd__mux2_1 _2197_ (.A0(_0357_), + .A1(_0367_), + .S(net76), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2263_)); - sky130_fd_sc_hd__and2_1 _2953_ (.A(_2256_), - .B(_2258_), + .X(_0368_)); + sky130_fd_sc_hd__and2_1 _2198_ (.A(\mandelbrot.alu.m2[18] ), + .B(_0368_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2264_)); - sky130_fd_sc_hd__xnor2_1 _2954_ (.A(_2184_), - .B(_2264_), + .X(_0369_)); + sky130_fd_sc_hd__nor2_1 _2199_ (.A(\mandelbrot.alu.m2[18] ), + .B(_0368_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2265_)); - sky130_fd_sc_hd__or3_1 _2955_ (.A(_1902_), - .B(net39), - .C(_2265_), + .Y(_0370_)); + sky130_fd_sc_hd__nor2_1 _2200_ (.A(_0369_), + .B(_0370_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2266_)); - sky130_fd_sc_hd__xor2_2 _2956_ (.A(_2263_), - .B(_2265_), + .Y(_0371_)); + sky130_fd_sc_hd__a21o_1 _2201_ (.A1(_0355_), + .A2(_0360_), + .B1(_0359_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2267_)); - sky130_fd_sc_hd__o21ai_1 _2957_ (.A1(_2262_), - .A2(_2267_), - .B1(_2261_), + .X(_0372_)); + sky130_fd_sc_hd__xor2_1 _2202_ (.A(_0371_), + .B(_0372_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2268_)); - sky130_fd_sc_hd__xor2_1 _2958_ (.A(_2233_), - .B(_2237_), + .X(_0373_)); + sky130_fd_sc_hd__mux2_1 _2203_ (.A0(\mandelbrot.alu.m2[18] ), + .A1(_0373_), + .S(net79), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2269_)); - sky130_fd_sc_hd__nand2_1 _2959_ (.A(_2268_), - .B(_2269_), + .X(_0374_)); + sky130_fd_sc_hd__a22o_1 _2204_ (.A1(\mandelbrot.alu.m2[16] ), + .A2(net20), + .B1(_0374_), + .B2(net119), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2270_)); - sky130_fd_sc_hd__o21ai_1 _2960_ (.A1(_2184_), - .A2(_2264_), - .B1(_2266_), + .X(_0160_)); + sky130_fd_sc_hd__or2_1 _2205_ (.A(\mandelbrot.alu.in_zi[3] ), + .B(_0364_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2271_)); - sky130_fd_sc_hd__xnor2_1 _2961_ (.A(_2268_), - .B(_2269_), + .X(_0375_)); + sky130_fd_sc_hd__nand2_1 _2206_ (.A(\mandelbrot.alu.in_zi[3] ), + .B(_0364_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2272_)); - sky130_fd_sc_hd__nand2b_1 _2962_ (.A_N(_2272_), - .B(_2271_), + .Y(_0376_)); + sky130_fd_sc_hd__and2_1 _2207_ (.A(_0375_), + .B(_0376_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2273_)); - sky130_fd_sc_hd__a21oi_1 _2963_ (.A1(_2270_), - .A2(_2273_), - .B1(_2246_), + .X(_0377_)); + sky130_fd_sc_hd__mux2_1 _2208_ (.A0(\mandelbrot.alu.in_zi[3] ), + .A1(_0377_), + .S(net132), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2274_)); - sky130_fd_sc_hd__nand3_2 _2964_ (.A(_2246_), - .B(_2270_), - .C(_2273_), + .X(_0378_)); + sky130_fd_sc_hd__mux2_1 _2209_ (.A0(_0367_), + .A1(_0378_), + .S(net76), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2275_)); - sky130_fd_sc_hd__xnor2_1 _2965_ (.A(_2271_), - .B(_2272_), + .X(_0379_)); + sky130_fd_sc_hd__and2_1 _2210_ (.A(\mandelbrot.alu.m2[19] ), + .B(_0379_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2276_)); - sky130_fd_sc_hd__a21o_1 _2966_ (.A1(_2258_), - .A2(_2259_), - .B1(_2248_), + .X(_0380_)); + sky130_fd_sc_hd__or2_1 _2211_ (.A(\mandelbrot.alu.m2[19] ), + .B(_0379_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2277_)); - sky130_fd_sc_hd__xnor2_1 _2967_ (.A(_2251_), - .B(_2252_), + .X(_0381_)); + sky130_fd_sc_hd__and2b_1 _2212_ (.A_N(_0380_), + .B(_0381_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2278_)); - sky130_fd_sc_hd__nand2_1 _2968_ (.A(net87), - .B(net79), + .X(_0382_)); + sky130_fd_sc_hd__a21o_1 _2213_ (.A1(_0371_), + .A2(_0372_), + .B1(_0369_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2279_)); - sky130_fd_sc_hd__nand2_1 _2969_ (.A(net95), - .B(net75), + .X(_0383_)); + sky130_fd_sc_hd__xor2_1 _2214_ (.A(_0382_), + .B(_0383_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2280_)); - sky130_fd_sc_hd__and4_1 _2970_ (.A(net94), - .B(net90), - .C(net70), - .D(net75), + .X(_0384_)); + sky130_fd_sc_hd__mux2_1 _2215_ (.A0(\mandelbrot.alu.m2[19] ), + .A1(_0384_), + .S(net79), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2281_)); - sky130_fd_sc_hd__mux2_1 _2971_ (.A0(_2253_), - .A1(net87), - .S(_2281_), + .X(_0385_)); + sky130_fd_sc_hd__a22o_1 _2216_ (.A1(\mandelbrot.alu.m2[17] ), + .A2(net20), + .B1(_0385_), + .B2(net119), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2282_)); - sky130_fd_sc_hd__xor2_1 _2972_ (.A(_2279_), - .B(_2282_), + .X(_0161_)); + sky130_fd_sc_hd__xor2_1 _2217_ (.A(\mandelbrot.alu.in_zi[4] ), + .B(_0375_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2283_)); - sky130_fd_sc_hd__and2_1 _2973_ (.A(_2278_), - .B(_2283_), + .X(_0386_)); + sky130_fd_sc_hd__mux2_1 _2218_ (.A0(\mandelbrot.alu.in_zi[4] ), + .A1(_0386_), + .S(net132), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2284_)); - sky130_fd_sc_hd__nand3_1 _2974_ (.A(_2260_), - .B(_2277_), - .C(_2284_), + .X(_0387_)); + sky130_fd_sc_hd__mux2_1 _2219_ (.A0(_0378_), + .A1(_0387_), + .S(net76), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2285_)); - sky130_fd_sc_hd__a21o_1 _2975_ (.A1(_2260_), - .A2(_2277_), - .B1(_2284_), + .X(_0388_)); + sky130_fd_sc_hd__and2_1 _2220_ (.A(\mandelbrot.alu.m2[20] ), + .B(_0388_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2286_)); - sky130_fd_sc_hd__o2bb2a_1 _2976_ (.A1_N(net87), - .A2_N(_2281_), - .B1(_2282_), - .B2(_2279_), + .X(_0389_)); + sky130_fd_sc_hd__nor2_1 _2221_ (.A(\mandelbrot.alu.m2[20] ), + .B(_0388_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2287_)); - sky130_fd_sc_hd__a21oi_1 _2977_ (.A1(_2141_), - .A2(_2215_), - .B1(_2263_), + .Y(_0390_)); + sky130_fd_sc_hd__nor2_1 _2222_ (.A(_0389_), + .B(_0390_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2288_)); - sky130_fd_sc_hd__nand2b_1 _2978_ (.A_N(_2287_), - .B(_2288_), + .Y(_0391_)); + sky130_fd_sc_hd__a21o_1 _2223_ (.A1(_0381_), + .A2(_0383_), + .B1(_0380_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2289_)); - sky130_fd_sc_hd__xnor2_1 _2979_ (.A(_2287_), - .B(_2288_), + .X(_0392_)); + sky130_fd_sc_hd__xor2_1 _2224_ (.A(_0391_), + .B(_0392_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2290_)); - sky130_fd_sc_hd__xor2_1 _2980_ (.A(_2249_), - .B(_2290_), + .X(_0393_)); + sky130_fd_sc_hd__mux2_1 _2225_ (.A0(\mandelbrot.alu.m2[20] ), + .A1(_0393_), + .S(net79), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2291_)); - sky130_fd_sc_hd__and3_1 _2981_ (.A(_2285_), - .B(_2286_), - .C(_2291_), + .X(_0394_)); + sky130_fd_sc_hd__a22o_1 _2226_ (.A1(\mandelbrot.alu.m2[18] ), + .A2(net21), + .B1(_0394_), + .B2(net121), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2292_)); - sky130_fd_sc_hd__a31o_1 _2982_ (.A1(_2260_), - .A2(_2277_), - .A3(_2284_), - .B1(_2292_), + .X(_0162_)); + sky130_fd_sc_hd__a21o_1 _2227_ (.A1(_0391_), + .A2(_0392_), + .B1(_0389_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2293_)); - sky130_fd_sc_hd__xor2_2 _2983_ (.A(_2262_), - .B(_2267_), + .X(_0395_)); + sky130_fd_sc_hd__or3_1 _2228_ (.A(\mandelbrot.alu.in_zi[4] ), + .B(\mandelbrot.alu.in_zi[5] ), + .C(_0375_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2294_)); - sky130_fd_sc_hd__nand2_1 _2984_ (.A(_2293_), - .B(_2294_), + .X(_0396_)); + sky130_fd_sc_hd__o21ai_1 _2229_ (.A1(\mandelbrot.alu.in_zi[4] ), + .A2(_0375_), + .B1(\mandelbrot.alu.in_zi[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2295_)); - sky130_fd_sc_hd__a21boi_2 _2985_ (.A1(_2249_), - .A2(_2290_), - .B1_N(_2289_), + .Y(_0397_)); + sky130_fd_sc_hd__and2_1 _2230_ (.A(_0396_), + .B(_0397_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2296_)); - sky130_fd_sc_hd__xnor2_2 _2986_ (.A(_2293_), - .B(_2294_), + .X(_0398_)); + sky130_fd_sc_hd__mux2_1 _2231_ (.A0(\mandelbrot.alu.in_zi[5] ), + .A1(_0398_), + .S(net132), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2297_)); - sky130_fd_sc_hd__o21a_1 _2987_ (.A1(_2296_), - .A2(_2297_), - .B1(_2295_), + .X(_0399_)); + sky130_fd_sc_hd__mux2_1 _2232_ (.A0(_0387_), + .A1(_0399_), + .S(net76), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2298_)); - sky130_fd_sc_hd__and2b_1 _2988_ (.A_N(_2298_), - .B(_2276_), + .X(_0400_)); + sky130_fd_sc_hd__or2_1 _2233_ (.A(\mandelbrot.alu.m2[21] ), + .B(_0400_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2299_)); - sky130_fd_sc_hd__xnor2_2 _2989_ (.A(_2276_), - .B(_2298_), + .X(_0401_)); + sky130_fd_sc_hd__nand2_1 _2234_ (.A(\mandelbrot.alu.m2[21] ), + .B(_0400_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2300_)); - sky130_fd_sc_hd__xor2_2 _2990_ (.A(_2296_), - .B(_2297_), + .Y(_0402_)); + sky130_fd_sc_hd__nand2_1 _2235_ (.A(_0401_), + .B(_0402_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2301_)); - sky130_fd_sc_hd__xnor2_1 _2991_ (.A(_2278_), - .B(_2283_), + .Y(_0403_)); + sky130_fd_sc_hd__nand2_1 _2236_ (.A(_0395_), + .B(_0403_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2302_)); - sky130_fd_sc_hd__nand2_2 _2992_ (.A(_1817_), - .B(net80), + .Y(_0404_)); + sky130_fd_sc_hd__o211ai_1 _2237_ (.A1(_0395_), + .A2(_0403_), + .B1(_0404_), + .C1(net79), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2303_)); - sky130_fd_sc_hd__o2bb2a_1 _2993_ (.A1_N(net96), - .A2_N(net69), - .B1(_1820_), - .B2(_1815_), + .Y(_0405_)); + sky130_fd_sc_hd__nand2_1 _2238_ (.A(net119), + .B(net77), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2304_)); - sky130_fd_sc_hd__or2_1 _2994_ (.A(_2281_), - .B(_2304_), + .Y(_0406_)); + sky130_fd_sc_hd__or2_1 _2239_ (.A(\mandelbrot.alu.m2[21] ), + .B(net79), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2305_)); - sky130_fd_sc_hd__or2_1 _2995_ (.A(_2303_), - .B(_2305_), + .X(_0407_)); + sky130_fd_sc_hd__a32o_1 _2240_ (.A1(net120), + .A2(_0405_), + .A3(_0407_), + .B1(\mandelbrot.alu.m2[19] ), + .B2(net21), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2306_)); - sky130_fd_sc_hd__or2_1 _2996_ (.A(_2302_), - .B(_2306_), + .X(_0163_)); + sky130_fd_sc_hd__or2_1 _2241_ (.A(\mandelbrot.alu.in_zi[6] ), + .B(_0396_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2307_)); - sky130_fd_sc_hd__nand2_2 _2997_ (.A(net86), - .B(net82), + .X(_0408_)); + sky130_fd_sc_hd__xor2_1 _2242_ (.A(\mandelbrot.alu.in_zi[6] ), + .B(_0396_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2308_)); - sky130_fd_sc_hd__nor2_1 _2998_ (.A(_2251_), - .B(_2308_), + .X(_0409_)); + sky130_fd_sc_hd__mux2_1 _2243_ (.A0(\mandelbrot.alu.in_zi[6] ), + .A1(_0409_), + .S(net131), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2309_)); - sky130_fd_sc_hd__xor2_1 _2999_ (.A(_2251_), - .B(_2308_), + .X(_0410_)); + sky130_fd_sc_hd__mux2_1 _2244_ (.A0(_0399_), + .A1(_0410_), + .S(net76), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2310_)); - sky130_fd_sc_hd__and2_1 _3000_ (.A(_2281_), - .B(_2310_), + .X(_0411_)); + sky130_fd_sc_hd__and2_1 _2245_ (.A(\mandelbrot.alu.m2[22] ), + .B(_0411_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2311_)); - sky130_fd_sc_hd__nor2_1 _3001_ (.A(_2281_), - .B(_2310_), + .X(_0412_)); + sky130_fd_sc_hd__nor2_1 _2246_ (.A(\mandelbrot.alu.m2[22] ), + .B(_0411_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2312_)); - sky130_fd_sc_hd__or2_1 _3002_ (.A(_2311_), - .B(_2312_), + .Y(_0413_)); + sky130_fd_sc_hd__nor2_1 _2247_ (.A(_0412_), + .B(_0413_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2313_)); - sky130_fd_sc_hd__xnor2_1 _3003_ (.A(_2302_), - .B(_2306_), + .Y(_0414_)); + sky130_fd_sc_hd__nand2b_1 _2248_ (.A_N(_0395_), + .B(_0402_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2314_)); - sky130_fd_sc_hd__or2_1 _3004_ (.A(_2313_), - .B(_2314_), + .Y(_0415_)); + sky130_fd_sc_hd__nand2_1 _2249_ (.A(_0401_), + .B(_0415_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2315_)); - sky130_fd_sc_hd__a21oi_1 _3005_ (.A1(_2285_), - .A2(_2286_), - .B1(_2291_), + .Y(_0416_)); + sky130_fd_sc_hd__xor2_1 _2250_ (.A(_0414_), + .B(_0416_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2316_)); - sky130_fd_sc_hd__a211o_1 _3006_ (.A1(_2307_), - .A2(_2315_), - .B1(_2316_), - .C1(_2292_), + .X(_0417_)); + sky130_fd_sc_hd__nand2_1 _2251_ (.A(net79), + .B(_0417_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2317_)); - sky130_fd_sc_hd__nor2_1 _3007_ (.A(_2309_), - .B(_2311_), + .Y(_0418_)); + sky130_fd_sc_hd__or2_1 _2252_ (.A(\mandelbrot.alu.m2[22] ), + .B(net79), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2318_)); - sky130_fd_sc_hd__o211ai_2 _3008_ (.A1(_2292_), - .A2(_2316_), - .B1(_2315_), - .C1(_2307_), + .X(_0419_)); + sky130_fd_sc_hd__a32o_1 _2253_ (.A1(net121), + .A2(_0418_), + .A3(_0419_), + .B1(\mandelbrot.alu.m2[20] ), + .B2(net21), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2319_)); - sky130_fd_sc_hd__o211ai_2 _3009_ (.A1(_2309_), - .A2(_2311_), - .B1(_2317_), - .C1(_2319_), + .X(_0164_)); + sky130_fd_sc_hd__xor2_1 _2254_ (.A(\mandelbrot.alu.in_zi[7] ), + .B(_0408_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2320_)); - sky130_fd_sc_hd__and2_1 _3010_ (.A(_2317_), - .B(_2320_), + .X(_0420_)); + sky130_fd_sc_hd__mux2_1 _2255_ (.A0(\mandelbrot.alu.in_zi[7] ), + .A1(_0420_), + .S(net131), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2321_)); - sky130_fd_sc_hd__and2b_1 _3011_ (.A_N(_2321_), - .B(_2301_), + .X(_0421_)); + sky130_fd_sc_hd__mux2_1 _2256_ (.A0(_0410_), + .A1(_0421_), + .S(net75), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2322_)); - sky130_fd_sc_hd__xnor2_2 _3012_ (.A(_2301_), - .B(_2321_), + .X(_0422_)); + sky130_fd_sc_hd__nand2_1 _2257_ (.A(\mandelbrot.alu.m2[23] ), + .B(_0422_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2323_)); - sky130_fd_sc_hd__a21bo_1 _3013_ (.A1(_2317_), - .A2(_2319_), - .B1_N(_2318_), + .Y(_0423_)); + sky130_fd_sc_hd__or2_1 _2258_ (.A(\mandelbrot.alu.m2[23] ), + .B(_0422_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2324_)); - sky130_fd_sc_hd__xnor2_1 _3014_ (.A(_2303_), - .B(_2305_), + .X(_0424_)); + sky130_fd_sc_hd__and2_1 _2259_ (.A(_0423_), + .B(_0424_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2325_)); - sky130_fd_sc_hd__nand2_1 _3015_ (.A(net91), - .B(net79), + .X(_0425_)); + sky130_fd_sc_hd__a31o_1 _2260_ (.A1(_0401_), + .A2(_0414_), + .A3(_0415_), + .B1(_0412_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2326_)); - sky130_fd_sc_hd__a22o_1 _3016_ (.A1(net95), - .A2(net79), - .B1(net83), - .B2(net91), + .X(_0426_)); + sky130_fd_sc_hd__xnor2_1 _2261_ (.A(_0425_), + .B(_0426_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2327_)); - sky130_fd_sc_hd__nand2_1 _3017_ (.A(net87), - .B(_2327_), + .Y(_0427_)); + sky130_fd_sc_hd__nand2_1 _2262_ (.A(net79), + .B(_0427_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2328_)); - sky130_fd_sc_hd__nand2_2 _3018_ (.A(net96), - .B(net80), + .Y(_0428_)); + sky130_fd_sc_hd__or2_1 _2263_ (.A(\mandelbrot.alu.m2[23] ), + .B(net79), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2329_)); - sky130_fd_sc_hd__a22o_1 _3019_ (.A1(_1850_), - .A2(_2194_), - .B1(_2327_), - .B2(net87), + .X(_0429_)); + sky130_fd_sc_hd__a32o_1 _2264_ (.A1(net120), + .A2(_0428_), + .A3(_0429_), + .B1(\mandelbrot.alu.m2[21] ), + .B2(net21), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2330_)); - sky130_fd_sc_hd__and3_1 _3020_ (.A(net91), - .B(\mandelbrot.alu.in_zr[4] ), - .C(_2330_), + .X(_0165_)); + sky130_fd_sc_hd__or3_1 _2265_ (.A(\mandelbrot.alu.in_zi[7] ), + .B(\mandelbrot.alu.in_zi[8] ), + .C(_0408_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2331_)); - sky130_fd_sc_hd__mux2_1 _3021_ (.A0(_2330_), - .A1(_2328_), - .S(_2326_), + .X(_0430_)); + sky130_fd_sc_hd__o21ai_1 _2266_ (.A1(\mandelbrot.alu.in_zi[7] ), + .A2(_0408_), + .B1(\mandelbrot.alu.in_zi[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2332_)); - sky130_fd_sc_hd__or2_2 _3022_ (.A(_2280_), - .B(_2332_), + .Y(_0431_)); + sky130_fd_sc_hd__and2_1 _2267_ (.A(_0430_), + .B(_0431_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2333_)); - sky130_fd_sc_hd__inv_2 _3023_ (.A(_2333_), + .X(_0432_)); + sky130_fd_sc_hd__mux2_1 _2268_ (.A0(\mandelbrot.alu.in_zi[8] ), + .A1(_0432_), + .S(net131), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2334_)); - sky130_fd_sc_hd__nor2_1 _3024_ (.A(_2325_), - .B(_2333_), + .X(_0433_)); + sky130_fd_sc_hd__mux2_1 _2269_ (.A0(_0421_), + .A1(_0433_), + .S(net76), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2335_)); - sky130_fd_sc_hd__nand2_1 _3025_ (.A(_2325_), - .B(_2333_), + .X(_0434_)); + sky130_fd_sc_hd__and2_1 _2270_ (.A(\mandelbrot.alu.m2[24] ), + .B(_0434_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2336_)); - sky130_fd_sc_hd__xnor2_1 _3026_ (.A(_2325_), - .B(_2333_), + .X(_0435_)); + sky130_fd_sc_hd__nor2_1 _2271_ (.A(\mandelbrot.alu.m2[24] ), + .B(_0434_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2337_)); - sky130_fd_sc_hd__nor2_1 _3027_ (.A(_2177_), - .B(net39), + .Y(_0436_)); + sky130_fd_sc_hd__nor2_1 _2272_ (.A(_0435_), + .B(_0436_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2338_)); - sky130_fd_sc_hd__inv_2 _3028_ (.A(_2338_), + .Y(_0437_)); + sky130_fd_sc_hd__a21bo_1 _2273_ (.A1(_0425_), + .A2(_0426_), + .B1_N(_0423_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2339_)); - sky130_fd_sc_hd__nand2b_1 _3029_ (.A_N(_2305_), - .B(_2331_), + .X(_0438_)); + sky130_fd_sc_hd__xnor2_1 _2274_ (.A(_0437_), + .B(_0438_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2340_)); - sky130_fd_sc_hd__xnor2_1 _3030_ (.A(_2305_), - .B(_2331_), + .Y(_0439_)); + sky130_fd_sc_hd__nand2_1 _2275_ (.A(net80), + .B(_0439_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2341_)); - sky130_fd_sc_hd__xnor2_1 _3031_ (.A(_2339_), - .B(_2341_), + .Y(_0440_)); + sky130_fd_sc_hd__or2_1 _2276_ (.A(\mandelbrot.alu.m2[24] ), + .B(net80), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2342_)); - sky130_fd_sc_hd__a21o_1 _3032_ (.A1(_2336_), - .A2(_2342_), - .B1(_2335_), + .X(_0441_)); + sky130_fd_sc_hd__a32o_1 _2277_ (.A1(net120), + .A2(_0440_), + .A3(_0441_), + .B1(\mandelbrot.alu.m2[22] ), + .B2(net21), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2343_)); - sky130_fd_sc_hd__xor2_1 _3033_ (.A(_2313_), - .B(_2314_), + .X(_0166_)); + sky130_fd_sc_hd__or2_1 _2278_ (.A(\mandelbrot.alu.in_zi[9] ), + .B(_0430_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2344_)); - sky130_fd_sc_hd__and2_1 _3034_ (.A(_2343_), - .B(_2344_), + .X(_0442_)); + sky130_fd_sc_hd__nand2_1 _2279_ (.A(\mandelbrot.alu.in_zi[9] ), + .B(_0430_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2345_)); - sky130_fd_sc_hd__a21bo_1 _3035_ (.A1(_2338_), - .A2(_2341_), - .B1_N(_2340_), + .Y(_0443_)); + sky130_fd_sc_hd__and2_1 _2280_ (.A(_0442_), + .B(_0443_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2346_)); - sky130_fd_sc_hd__xnor2_1 _3036_ (.A(_2343_), - .B(_2344_), + .X(_0444_)); + sky130_fd_sc_hd__mux2_1 _2281_ (.A0(\mandelbrot.alu.in_zi[9] ), + .A1(_0444_), + .S(net131), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2347_)); - sky130_fd_sc_hd__and2b_1 _3037_ (.A_N(_2347_), - .B(_2346_), + .X(_0445_)); + sky130_fd_sc_hd__mux2_1 _2282_ (.A0(_0433_), + .A1(_0445_), + .S(net75), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2348_)); - sky130_fd_sc_hd__o211a_1 _3038_ (.A1(_2345_), - .A2(_2348_), - .B1(_2320_), - .C1(_2324_), + .X(_0446_)); + sky130_fd_sc_hd__nor2_1 _2283_ (.A(\mandelbrot.alu.m2[25] ), + .B(_0446_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2349_)); - sky130_fd_sc_hd__xnor2_1 _3039_ (.A(_2346_), - .B(_2347_), + .Y(_0447_)); + sky130_fd_sc_hd__nand2_1 _2284_ (.A(\mandelbrot.alu.m2[25] ), + .B(_0446_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2350_)); - sky130_fd_sc_hd__nand2_1 _3040_ (.A(_2280_), - .B(_2326_), + .Y(_0448_)); + sky130_fd_sc_hd__nand2b_1 _2285_ (.A_N(_0447_), + .B(_0448_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2351_)); - sky130_fd_sc_hd__o21ai_1 _3041_ (.A1(_1851_), - .A2(_2195_), - .B1(_2327_), + .Y(_0449_)); + sky130_fd_sc_hd__a21oi_1 _2286_ (.A1(_0437_), + .A2(_0438_), + .B1(_0435_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2352_)); - sky130_fd_sc_hd__and4_1 _3042_ (.A(net88), - .B(net82), - .C(_2194_), - .D(_2352_), + .Y(_0450_)); + sky130_fd_sc_hd__xnor2_1 _2287_ (.A(_0449_), + .B(_0450_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2353_)); - sky130_fd_sc_hd__and3_1 _3043_ (.A(_2339_), - .B(_2351_), - .C(_2353_), + .Y(_0451_)); + sky130_fd_sc_hd__nand2_1 _2288_ (.A(net77), + .B(_0451_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2354_)); - sky130_fd_sc_hd__xnor2_1 _3044_ (.A(_2337_), - .B(_2342_), + .Y(_0452_)); + sky130_fd_sc_hd__o211a_1 _2289_ (.A1(\mandelbrot.alu.m2[25] ), + .A2(net78), + .B1(_0452_), + .C1(net119), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2355_)); - sky130_fd_sc_hd__a21oi_1 _3045_ (.A1(_2339_), - .A2(_2351_), - .B1(_2353_), + .X(_0453_)); + sky130_fd_sc_hd__a21o_1 _2290_ (.A1(\mandelbrot.alu.m2[23] ), + .A2(net20), + .B1(_0453_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2356_)); - sky130_fd_sc_hd__and4bb_1 _3046_ (.A_N(_2354_), - .B_N(_2356_), - .C(_1850_), - .D(_2194_), + .X(_0167_)); + sky130_fd_sc_hd__xor2_1 _2291_ (.A(\mandelbrot.alu.in_zi[10] ), + .B(_0442_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2357_)); - sky130_fd_sc_hd__and2_1 _3047_ (.A(_2280_), - .B(_2332_), + .X(_0454_)); + sky130_fd_sc_hd__mux2_1 _2292_ (.A0(\mandelbrot.alu.in_zi[10] ), + .A1(_0454_), + .S(net133), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2358_)); - sky130_fd_sc_hd__o22a_1 _3048_ (.A1(_1851_), - .A2(_2195_), - .B1(_2354_), - .B2(_2356_), + .X(_0455_)); + sky130_fd_sc_hd__mux2_1 _2293_ (.A0(_0445_), + .A1(_0455_), + .S(net75), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2359_)); - sky130_fd_sc_hd__nor3_1 _3049_ (.A(_2357_), - .B(_2358_), - .C(_2359_), + .X(_0456_)); + sky130_fd_sc_hd__nor2_1 _2294_ (.A(\mandelbrot.alu.m2[26] ), + .B(_0456_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2360_)); - sky130_fd_sc_hd__or4_1 _3050_ (.A(_2334_), - .B(_2357_), - .C(_2358_), - .D(_2359_), + .Y(_0457_)); + sky130_fd_sc_hd__nand2_1 _2295_ (.A(\mandelbrot.alu.m2[26] ), + .B(_0456_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2361_)); - sky130_fd_sc_hd__a31o_1 _3051_ (.A1(_2333_), - .A2(_2355_), - .A3(_2360_), - .B1(_2354_), + .Y(_0458_)); + sky130_fd_sc_hd__and2b_1 _2296_ (.A_N(_0457_), + .B(_0458_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2362_)); - sky130_fd_sc_hd__and2_1 _3052_ (.A(_2350_), - .B(_2362_), + .X(_0459_)); + sky130_fd_sc_hd__o21a_1 _2297_ (.A1(_0447_), + .A2(_0450_), + .B1(_0448_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2363_)); - sky130_fd_sc_hd__nor2_1 _3053_ (.A(_2350_), - .B(_2362_), + .X(_0460_)); + sky130_fd_sc_hd__and2_1 _2298_ (.A(_0459_), + .B(_0460_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2364_)); - sky130_fd_sc_hd__nor2_1 _3054_ (.A(_2363_), - .B(_2364_), + .X(_0461_)); + sky130_fd_sc_hd__o21ai_1 _2299_ (.A1(_0459_), + .A2(_0460_), + .B1(net77), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2365_)); - sky130_fd_sc_hd__xnor2_1 _3055_ (.A(_2355_), - .B(_2361_), + .Y(_0462_)); + sky130_fd_sc_hd__o22a_1 _2300_ (.A1(\mandelbrot.alu.m2[26] ), + .A2(net78), + .B1(_0461_), + .B2(_0462_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2366_)); - sky130_fd_sc_hd__o21bai_1 _3056_ (.A1(_2357_), - .A2(_2366_), - .B1_N(_2354_), + .X(_0463_)); + sky130_fd_sc_hd__a22o_1 _2301_ (.A1(\mandelbrot.alu.m2[24] ), + .A2(net20), + .B1(_0463_), + .B2(net119), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2367_)); - sky130_fd_sc_hd__nor2_1 _3057_ (.A(_1817_), - .B(_2329_), + .X(_0168_)); + sky130_fd_sc_hd__or3_1 _2302_ (.A(\mandelbrot.alu.in_zi[10] ), + .B(\mandelbrot.alu.in_zi[11] ), + .C(_0442_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2368_)); - sky130_fd_sc_hd__mux2_1 _3058_ (.A0(_2329_), - .A1(_2368_), - .S(_2216_), + .X(_0464_)); + sky130_fd_sc_hd__o21ai_1 _2303_ (.A1(\mandelbrot.alu.in_zi[10] ), + .A2(_0442_), + .B1(\mandelbrot.alu.in_zi[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2369_)); - sky130_fd_sc_hd__and2b_1 _3059_ (.A_N(_2352_), - .B(_2369_), + .Y(_0465_)); + sky130_fd_sc_hd__and2_1 _2304_ (.A(_0464_), + .B(_0465_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2370_)); - sky130_fd_sc_hd__o21ba_1 _3060_ (.A1(_2216_), - .A2(_2329_), - .B1_N(_2370_), + .X(_0466_)); + sky130_fd_sc_hd__mux2_1 _2305_ (.A0(\mandelbrot.alu.in_zi[11] ), + .A1(_0466_), + .S(net131), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2371_)); - sky130_fd_sc_hd__or2_1 _3061_ (.A(_2367_), - .B(_2371_), + .X(_0467_)); + sky130_fd_sc_hd__mux2_1 _2306_ (.A0(_0455_), + .A1(_0467_), + .S(net75), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2372_)); - sky130_fd_sc_hd__o21bai_2 _3062_ (.A1(_2364_), - .A2(_2372_), - .B1_N(_2363_), + .X(_0468_)); + sky130_fd_sc_hd__or2_1 _2307_ (.A(\mandelbrot.alu.m2[27] ), + .B(_0468_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2373_)); - sky130_fd_sc_hd__a211o_1 _3063_ (.A1(_2320_), - .A2(_2324_), - .B1(_2345_), - .C1(_2348_), + .X(_0469_)); + sky130_fd_sc_hd__and2_1 _2308_ (.A(\mandelbrot.alu.m2[27] ), + .B(_0468_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2374_)); - sky130_fd_sc_hd__nand2b_1 _3064_ (.A_N(_2349_), - .B(_2374_), + .X(_0470_)); + sky130_fd_sc_hd__inv_2 _2309_ (.A(_0470_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2375_)); - sky130_fd_sc_hd__a21o_1 _3065_ (.A1(_2373_), - .A2(_2374_), - .B1(_2349_), + .Y(_0471_)); + sky130_fd_sc_hd__nand2_1 _2310_ (.A(_0469_), + .B(_0471_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2376_)); - sky130_fd_sc_hd__a21o_1 _3066_ (.A1(_2323_), - .A2(_2376_), - .B1(_2322_), + .Y(_0472_)); + sky130_fd_sc_hd__o21ai_2 _2311_ (.A1(_0457_), + .A2(_0460_), + .B1(_0458_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2377_)); - sky130_fd_sc_hd__a21o_1 _3067_ (.A1(_2300_), - .A2(_2377_), - .B1(_2299_), + .Y(_0473_)); + sky130_fd_sc_hd__o21ai_1 _2312_ (.A1(_0472_), + .A2(_0473_), + .B1(net78), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2378_)); - sky130_fd_sc_hd__a211o_1 _3068_ (.A1(_2300_), - .A2(_2377_), - .B1(_2274_), - .C1(_2299_), + .Y(_0474_)); + sky130_fd_sc_hd__a21o_1 _2313_ (.A1(_0472_), + .A2(_0473_), + .B1(_0474_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2379_)); - sky130_fd_sc_hd__and3_2 _3069_ (.A(_2245_), - .B(_2275_), - .C(_2379_), + .X(_0475_)); + sky130_fd_sc_hd__o211a_1 _2314_ (.A1(\mandelbrot.alu.m2[27] ), + .A2(net77), + .B1(_0475_), + .C1(net119), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2380_)); - sky130_fd_sc_hd__a31o_1 _3070_ (.A1(_2245_), - .A2(_2275_), - .A3(_2379_), - .B1(_2244_), + .X(_0476_)); + sky130_fd_sc_hd__a21o_1 _2315_ (.A1(\mandelbrot.alu.m2[25] ), + .A2(net20), + .B1(_0476_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2381_)); - sky130_fd_sc_hd__a311o_1 _3071_ (.A1(_2245_), - .A2(_2275_), - .A3(_2379_), - .B1(_2244_), - .C1(_2210_), + .X(_0169_)); + sky130_fd_sc_hd__or2_1 _2316_ (.A(\mandelbrot.alu.in_zi[12] ), + .B(_0464_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2382_)); - sky130_fd_sc_hd__nand2_2 _3072_ (.A(_2211_), - .B(_2382_), + .X(_0477_)); + sky130_fd_sc_hd__nand2_1 _2317_ (.A(\mandelbrot.alu.in_zi[12] ), + .B(_0464_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2383_)); - sky130_fd_sc_hd__xnor2_4 _3073_ (.A(_2140_), - .B(_2173_), + .Y(_0478_)); + sky130_fd_sc_hd__and2_1 _2318_ (.A(_0477_), + .B(_0478_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2384_)); - sky130_fd_sc_hd__inv_2 _3074_ (.A(_2384_), + .X(_0479_)); + sky130_fd_sc_hd__mux2_1 _2319_ (.A0(\mandelbrot.alu.in_zi[12] ), + .A1(_0479_), + .S(net131), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2385_)); - sky130_fd_sc_hd__a31o_1 _3075_ (.A1(_2211_), - .A2(_2382_), - .A3(_2385_), - .B1(_2174_), + .X(_0480_)); + sky130_fd_sc_hd__mux2_1 _2320_ (.A0(_0467_), + .A1(_0480_), + .S(net75), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2386_)); - sky130_fd_sc_hd__nor2_1 _3076_ (.A(_2139_), - .B(_2384_), + .X(_0481_)); + sky130_fd_sc_hd__and2_1 _2321_ (.A(\mandelbrot.alu.m2[28] ), + .B(_0481_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2387_)); - sky130_fd_sc_hd__o32ai_1 _3077_ (.A1(_2139_), - .A2(_2140_), - .A3(_2173_), - .B1(_2138_), - .B2(_2101_), + .X(_0482_)); + sky130_fd_sc_hd__nor2_1 _2322_ (.A(\mandelbrot.alu.m2[28] ), + .B(_0481_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2388_)); - sky130_fd_sc_hd__a31o_1 _3078_ (.A1(_2211_), - .A2(_2382_), - .A3(_2387_), - .B1(_2388_), + .Y(_0483_)); + sky130_fd_sc_hd__nor2_1 _2323_ (.A(_0482_), + .B(_0483_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2389_)); - sky130_fd_sc_hd__a21bo_1 _3079_ (.A1(_2100_), - .A2(_2389_), - .B1_N(_2099_), + .Y(_0484_)); + sky130_fd_sc_hd__o21a_1 _2324_ (.A1(_0470_), + .A2(_0473_), + .B1(_0469_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2390_)); - sky130_fd_sc_hd__a21o_2 _3080_ (.A1(_2059_), - .A2(_2390_), - .B1(_2057_), + .X(_0485_)); + sky130_fd_sc_hd__o211a_1 _2325_ (.A1(_0470_), + .A2(_0473_), + .B1(_0484_), + .C1(_0469_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2391_)); - sky130_fd_sc_hd__a21oi_2 _3081_ (.A1(_2021_), - .A2(_2391_), - .B1(_2020_), + .X(_0486_)); + sky130_fd_sc_hd__nor2_1 _2326_ (.A(_0484_), + .B(_0485_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2392_)); - sky130_fd_sc_hd__or2_1 _3082_ (.A(_1983_), - .B(_2392_), + .Y(_0487_)); + sky130_fd_sc_hd__nor2_1 _2327_ (.A(_0486_), + .B(_0487_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2393_)); - sky130_fd_sc_hd__xnor2_4 _3083_ (.A(_1983_), - .B(_2392_), + .Y(_0488_)); + sky130_fd_sc_hd__mux2_1 _2328_ (.A0(\mandelbrot.alu.m2[28] ), + .A1(_0488_), + .S(net78), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2394_)); - sky130_fd_sc_hd__nand2_8 _3084_ (.A(net111), - .B(net105), + .X(_0489_)); + sky130_fd_sc_hd__a22o_1 _2329_ (.A1(\mandelbrot.alu.m2[26] ), + .A2(net20), + .B1(_0489_), + .B2(net120), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2395_)); - sky130_fd_sc_hd__nor3_2 _3085_ (.A(net42), - .B(net115), - .C(_2395_), + .X(_0170_)); + sky130_fd_sc_hd__xor2_1 _2330_ (.A(\mandelbrot.alu.in_zi[13] ), + .B(_0477_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2396_)); - sky130_fd_sc_hd__nor2_1 _3086_ (.A(net134), - .B(net128), + .X(_0490_)); + sky130_fd_sc_hd__mux2_1 _2331_ (.A0(\mandelbrot.alu.in_zi[13] ), + .A1(_0490_), + .S(net131), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2397_)); - sky130_fd_sc_hd__and2_4 _3087_ (.A(net133), - .B(net127), + .X(_0491_)); + sky130_fd_sc_hd__mux2_1 _2332_ (.A0(_0480_), + .A1(_0491_), + .S(net75), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2398_)); - sky130_fd_sc_hd__nand2_2 _3088_ (.A(net134), - .B(net128), + .X(_0492_)); + sky130_fd_sc_hd__nand2_1 _2333_ (.A(\mandelbrot.alu.m2[29] ), + .B(_0492_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2399_)); - sky130_fd_sc_hd__or3_1 _3089_ (.A(net42), - .B(_2397_), - .C(_2398_), + .Y(_0493_)); + sky130_fd_sc_hd__inv_2 _2334_ (.A(_0493_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2400_)); - sky130_fd_sc_hd__nand2_1 _3090_ (.A(net101), - .B(net125), + .Y(_0494_)); + sky130_fd_sc_hd__or2_1 _2335_ (.A(\mandelbrot.alu.m2[29] ), + .B(_0492_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2401_)); - sky130_fd_sc_hd__nor3_2 _3091_ (.A(_2397_), - .B(_2398_), - .C(_2401_), + .X(_0495_)); + sky130_fd_sc_hd__nand2_1 _2336_ (.A(_0493_), + .B(_0495_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2402_)); - sky130_fd_sc_hd__a21oi_4 _3092_ (.A1(net102), - .A2(_2398_), - .B1(_2402_), + .Y(_0496_)); + sky130_fd_sc_hd__o21a_1 _2337_ (.A1(_0482_), + .A2(_0486_), + .B1(_0496_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2403_)); - sky130_fd_sc_hd__inv_2 _3093_ (.A(_2403_), + .X(_0497_)); + sky130_fd_sc_hd__o31ai_1 _2338_ (.A1(_0482_), + .A2(_0486_), + .A3(_0496_), + .B1(net77), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2404_)); - sky130_fd_sc_hd__nand2_1 _3094_ (.A(_1824_), - .B(net116), + .Y(_0498_)); + sky130_fd_sc_hd__o221a_1 _2339_ (.A1(\mandelbrot.alu.m2[29] ), + .A2(net78), + .B1(_0497_), + .B2(_0498_), + .C1(net120), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2405_)); - sky130_fd_sc_hd__xor2_2 _3095_ (.A(net117), - .B(net115), + .X(_0499_)); + sky130_fd_sc_hd__a21o_1 _2340_ (.A1(\mandelbrot.alu.m2[27] ), + .A2(net20), + .B1(_0499_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2406_)); - sky130_fd_sc_hd__nand2_1 _3096_ (.A(net100), - .B(_2406_), + .X(_0171_)); + sky130_fd_sc_hd__or3_1 _2341_ (.A(\mandelbrot.alu.in_zi[13] ), + .B(\mandelbrot.alu.in_zi[14] ), + .C(_0477_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2407_)); - sky130_fd_sc_hd__nor2_1 _3097_ (.A(_1825_), - .B(_2407_), + .X(_0500_)); + sky130_fd_sc_hd__o21ai_1 _2342_ (.A1(\mandelbrot.alu.in_zi[13] ), + .A2(_0477_), + .B1(\mandelbrot.alu.in_zi[14] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2408_)); - sky130_fd_sc_hd__xor2_1 _3098_ (.A(_2395_), - .B(_2407_), + .Y(_0501_)); + sky130_fd_sc_hd__and2_1 _2343_ (.A(_0500_), + .B(_0501_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2409_)); - sky130_fd_sc_hd__and2b_1 _3099_ (.A_N(_2403_), - .B(_2409_), + .X(_0502_)); + sky130_fd_sc_hd__mux2_1 _2344_ (.A0(\mandelbrot.alu.in_zi[14] ), + .A1(_0502_), + .S(net131), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2410_)); - sky130_fd_sc_hd__and2_2 _3100_ (.A(net117), - .B(net115), + .X(_0503_)); + sky130_fd_sc_hd__mux2_1 _2345_ (.A0(_0491_), + .A1(_0503_), + .S(net75), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2411_)); - sky130_fd_sc_hd__nand2_1 _3101_ (.A(net117), - .B(net115), + .X(_0504_)); + sky130_fd_sc_hd__and2_1 _2346_ (.A(\mandelbrot.alu.m2[30] ), + .B(_0504_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2412_)); - sky130_fd_sc_hd__and2_2 _3102_ (.A(net100), - .B(net105), + .X(_0505_)); + sky130_fd_sc_hd__nor2_1 _2347_ (.A(\mandelbrot.alu.m2[30] ), + .B(_0504_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2413_)); - sky130_fd_sc_hd__nand2_1 _3103_ (.A(net100), - .B(net105), + .Y(_0506_)); + sky130_fd_sc_hd__nor2_1 _2348_ (.A(_0505_), + .B(_0506_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2414_)); - sky130_fd_sc_hd__nor2_1 _3104_ (.A(net38), - .B(net36), + .Y(_0507_)); + sky130_fd_sc_hd__o31ai_1 _2349_ (.A1(_0482_), + .A2(_0486_), + .A3(_0494_), + .B1(_0495_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2415_)); - sky130_fd_sc_hd__a22o_1 _3105_ (.A1(net100), - .A2(net117), - .B1(net115), - .B2(net105), + .Y(_0508_)); + sky130_fd_sc_hd__o311a_1 _2350_ (.A1(_0482_), + .A2(_0486_), + .A3(_0494_), + .B1(_0495_), + .C1(_0507_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2416_)); - sky130_fd_sc_hd__o21a_1 _3106_ (.A1(net38), - .A2(net36), - .B1(_2416_), + .X(_0509_)); + sky130_fd_sc_hd__xnor2_1 _2351_ (.A(_0507_), + .B(_0508_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2417_)); - sky130_fd_sc_hd__and2_1 _3107_ (.A(net111), - .B(_2417_), + .Y(_0510_)); + sky130_fd_sc_hd__mux2_1 _2352_ (.A0(\mandelbrot.alu.m2[30] ), + .A1(_0510_), + .S(net77), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2418_)); - sky130_fd_sc_hd__and2b_1 _3108_ (.A_N(_2409_), - .B(_2403_), + .X(_0511_)); + sky130_fd_sc_hd__a22o_1 _2353_ (.A1(\mandelbrot.alu.m2[28] ), + .A2(net20), + .B1(_0511_), + .B2(net119), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2419_)); - sky130_fd_sc_hd__nor2_1 _3109_ (.A(_2410_), - .B(_2419_), + .X(_0172_)); + sky130_fd_sc_hd__and2_1 _2354_ (.A(_1425_), + .B(_0500_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2420_)); - sky130_fd_sc_hd__o21a_1 _3110_ (.A1(_2415_), - .A2(_2418_), - .B1(_2420_), + .X(_0512_)); + sky130_fd_sc_hd__nor2_1 _2355_ (.A(_1425_), + .B(_0500_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2421_)); - sky130_fd_sc_hd__a21oi_1 _3111_ (.A1(net102), - .A2(_1825_), - .B1(net105), + .Y(_0513_)); + sky130_fd_sc_hd__nor2_1 _2356_ (.A(_0512_), + .B(_0513_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2422_)); - sky130_fd_sc_hd__a21oi_1 _3112_ (.A1(_1825_), - .A2(_2413_), - .B1(_2422_), + .Y(_0514_)); + sky130_fd_sc_hd__mux2_1 _2357_ (.A0(_1425_), + .A1(_0514_), + .S(net131), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2423_)); - sky130_fd_sc_hd__o21a_1 _3113_ (.A1(_2410_), - .A2(_2421_), - .B1(_2423_), + .X(_0515_)); + sky130_fd_sc_hd__nand2_1 _2358_ (.A(net75), + .B(_0515_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2424_)); - sky130_fd_sc_hd__nor2_2 _3114_ (.A(_2396_), - .B(_2424_), + .Y(_0516_)); + sky130_fd_sc_hd__o21ai_1 _2359_ (.A1(net75), + .A2(_0503_), + .B1(_0516_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2425_)); - sky130_fd_sc_hd__a21oi_2 _3115_ (.A1(_2400_), - .A2(_2401_), - .B1(_2402_), + .Y(_0517_)); + sky130_fd_sc_hd__and2b_1 _2360_ (.A_N(_0517_), + .B(\mandelbrot.alu.m2[31] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2426_)); - sky130_fd_sc_hd__and2_1 _3116_ (.A(net148), - .B(net143), + .X(_0518_)); + sky130_fd_sc_hd__xnor2_1 _2361_ (.A(\mandelbrot.alu.m2[31] ), + .B(_0517_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2427_)); - sky130_fd_sc_hd__nand2_1 _3117_ (.A(net148), - .B(net142), + .Y(_0519_)); + sky130_fd_sc_hd__nor3_1 _2362_ (.A(_0505_), + .B(_0509_), + .C(_0519_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2428_)); - sky130_fd_sc_hd__and2_2 _3118_ (.A(net150), - .B(net146), + .Y(_0520_)); + sky130_fd_sc_hd__o21a_1 _2363_ (.A1(_0505_), + .A2(_0509_), + .B1(_0519_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2429_)); - sky130_fd_sc_hd__nand2_1 _3119_ (.A(net150), - .B(net146), + .X(_0521_)); + sky130_fd_sc_hd__o21ai_1 _2364_ (.A1(_0520_), + .A2(_0521_), + .B1(net77), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2430_)); - sky130_fd_sc_hd__and3_1 _3120_ (.A(net100), - .B(net139), - .C(_2429_), + .Y(_0522_)); + sky130_fd_sc_hd__o21a_1 _2365_ (.A1(\mandelbrot.alu.m2[31] ), + .A2(net77), + .B1(net119), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2431_)); - sky130_fd_sc_hd__nand4_4 _3121_ (.A(net101), - .B(net150), - .C(net147), - .D(net139), + .X(_0523_)); + sky130_fd_sc_hd__a22o_1 _2366_ (.A1(\mandelbrot.alu.m2[29] ), + .A2(net20), + .B1(_0522_), + .B2(_0523_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2432_)); - sky130_fd_sc_hd__nand2_2 _3122_ (.A(_2426_), - .B(_2431_), + .X(_0173_)); + sky130_fd_sc_hd__mux2_1 _2367_ (.A0(\mandelbrot.alu.in_zi[15] ), + .A1(_0512_), + .S(net131), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2433_)); - sky130_fd_sc_hd__or2_2 _3123_ (.A(net150), - .B(net146), + .X(_0524_)); + sky130_fd_sc_hd__inv_2 _2368_ (.A(_0524_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2434_)); - sky130_fd_sc_hd__o211ai_4 _3124_ (.A1(net139), - .A2(_2434_), - .B1(_2432_), - .C1(net101), + .Y(_0525_)); + sky130_fd_sc_hd__mux2_1 _2369_ (.A0(_0515_), + .A1(_0525_), + .S(net75), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2435_)); - sky130_fd_sc_hd__or3b_2 _3125_ (.A(_2426_), - .B(_2431_), - .C_N(_2435_), + .X(_0526_)); + sky130_fd_sc_hd__xnor2_1 _2370_ (.A(\mandelbrot.alu.m2[31] ), + .B(_0526_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2436_)); - sky130_fd_sc_hd__nand2_2 _3126_ (.A(_2433_), - .B(_2436_), + .Y(_0527_)); + sky130_fd_sc_hd__o21ai_1 _2371_ (.A1(_0518_), + .A2(_0521_), + .B1(_0527_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2437_)); - sky130_fd_sc_hd__o21ai_1 _3127_ (.A1(net111), - .A2(_2406_), - .B1(net100), + .Y(_0528_)); + sky130_fd_sc_hd__or3_1 _2372_ (.A(_0518_), + .B(_0521_), + .C(_0527_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2438_)); - sky130_fd_sc_hd__nor2_1 _3128_ (.A(_2408_), - .B(_2438_), + .X(_0529_)); + sky130_fd_sc_hd__a21bo_1 _2373_ (.A1(_0528_), + .A2(_0529_), + .B1_N(net77), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2439_)); - sky130_fd_sc_hd__and2_1 _3129_ (.A(_2404_), - .B(_2439_), + .X(_0530_)); + sky130_fd_sc_hd__a22o_1 _2374_ (.A1(\mandelbrot.alu.m2[30] ), + .A2(net20), + .B1(_0523_), + .B2(_0530_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2440_)); - sky130_fd_sc_hd__xnor2_2 _3130_ (.A(_2403_), - .B(_2439_), + .X(_0174_)); + sky130_fd_sc_hd__nor2_1 _2375_ (.A(net112), + .B(net34), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2441_)); - sky130_fd_sc_hd__a22o_1 _3131_ (.A1(net105), - .A2(_2408_), - .B1(_2411_), - .B2(net100), + .Y(_0531_)); + sky130_fd_sc_hd__a21o_1 _2376_ (.A1(net94), + .A2(net40), + .B1(_1427_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2442_)); - sky130_fd_sc_hd__xnor2_1 _3132_ (.A(_2441_), - .B(_2442_), + .X(_0532_)); + sky130_fd_sc_hd__nor2_1 _2377_ (.A(\mandelbrot.alu.mult_zr_zi.ctr[0] ), + .B(_1427_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2443_)); - sky130_fd_sc_hd__or2_1 _3133_ (.A(_2437_), - .B(_2443_), + .Y(_0533_)); + sky130_fd_sc_hd__a22o_1 _2378_ (.A1(net244), + .A2(_0532_), + .B1(_0533_), + .B2(net116), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2444_)); - sky130_fd_sc_hd__a21o_1 _3134_ (.A1(net100), - .A2(_2411_), - .B1(_2408_), + .X(_0175_)); + sky130_fd_sc_hd__nor2_1 _2379_ (.A(\mandelbrot.alu.mult_zr_zi.ctr[0] ), + .B(\mandelbrot.alu.mult_zr_zi.ctr[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2445_)); - sky130_fd_sc_hd__xnor2_1 _3135_ (.A(_2441_), - .B(_2445_), + .Y(_0534_)); + sky130_fd_sc_hd__nor2_1 _2380_ (.A(_1636_), + .B(_0534_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2446_)); - sky130_fd_sc_hd__xnor2_1 _3136_ (.A(_2437_), - .B(_2446_), + .Y(_0535_)); + sky130_fd_sc_hd__a32o_1 _2381_ (.A1(net116), + .A2(net56), + .A3(_0535_), + .B1(_0532_), + .B2(net164), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2447_)); - sky130_fd_sc_hd__a21oi_1 _3137_ (.A1(_2433_), - .A2(_2444_), - .B1(_2447_), + .X(_0176_)); + sky130_fd_sc_hd__o221a_1 _2382_ (.A1(net116), + .A2(net38), + .B1(_1636_), + .B2(\mandelbrot.alu.mult_zr_zi.ctr[2] ), + .C1(net56), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2448_)); - sky130_fd_sc_hd__and3_1 _3138_ (.A(_2433_), - .B(_2444_), - .C(_2447_), + .X(_0536_)); + sky130_fd_sc_hd__a32o_1 _2383_ (.A1(net114), + .A2(_1637_), + .A3(_0536_), + .B1(_0532_), + .B2(net204), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2449_)); - sky130_fd_sc_hd__nor2_1 _3139_ (.A(_2448_), - .B(_2449_), + .X(_0177_)); + sky130_fd_sc_hd__nor2_2 _2384_ (.A(net128), + .B(net38), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2450_)); - sky130_fd_sc_hd__a21o_1 _3140_ (.A1(_2441_), - .A2(_2442_), - .B1(_2440_), + .Y(_0537_)); + sky130_fd_sc_hd__a21o_1 _2385_ (.A1(net97), + .A2(net40), + .B1(_1427_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2451_)); - sky130_fd_sc_hd__a21o_1 _3141_ (.A1(_1825_), - .A2(net105), - .B1(net42), + .X(_0538_)); + sky130_fd_sc_hd__nor2_1 _2386_ (.A(\mandelbrot.alu.mult_zr_zr.ctr[0] ), + .B(_1427_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2452_)); - sky130_fd_sc_hd__xnor2_1 _3142_ (.A(_2451_), - .B(_2452_), + .Y(_0539_)); + sky130_fd_sc_hd__a22o_1 _2387_ (.A1(net265), + .A2(_0538_), + .B1(_0539_), + .B2(net128), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2453_)); - sky130_fd_sc_hd__xnor2_1 _3143_ (.A(_2450_), - .B(_2453_), + .X(_0178_)); + sky130_fd_sc_hd__nor2_1 _2388_ (.A(\mandelbrot.alu.mult_zr_zr.ctr[0] ), + .B(\mandelbrot.alu.mult_zr_zr.ctr[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2454_)); - sky130_fd_sc_hd__nand2_1 _3144_ (.A(_2437_), - .B(_2443_), + .Y(_0540_)); + sky130_fd_sc_hd__nor2_1 _2389_ (.A(_1631_), + .B(_0540_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2455_)); - sky130_fd_sc_hd__and2_1 _3145_ (.A(_2444_), - .B(_2455_), + .Y(_0541_)); + sky130_fd_sc_hd__a32o_1 _2390_ (.A1(net127), + .A2(net59), + .A3(_0541_), + .B1(_0538_), + .B2(net181), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2456_)); - sky130_fd_sc_hd__nor3_1 _3146_ (.A(_2415_), - .B(_2418_), - .C(_2420_), + .X(_0179_)); + sky130_fd_sc_hd__o221a_1 _2391_ (.A1(net128), + .A2(net38), + .B1(_1631_), + .B2(\mandelbrot.alu.mult_zr_zr.ctr[2] ), + .C1(net56), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2457_)); - sky130_fd_sc_hd__or2_1 _3147_ (.A(_2421_), - .B(_2457_), + .X(_0542_)); + sky130_fd_sc_hd__a32o_1 _2392_ (.A1(net127), + .A2(_1632_), + .A3(_0542_), + .B1(_0538_), + .B2(net176), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2458_)); - sky130_fd_sc_hd__o21ai_1 _3148_ (.A1(_2437_), - .A2(_2458_), - .B1(_2433_), + .X(_0180_)); + sky130_fd_sc_hd__a22o_1 _2393_ (.A1(net115), + .A2(net124), + .B1(net16), + .B2(net210), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2459_)); - sky130_fd_sc_hd__nand2_1 _3149_ (.A(_2456_), - .B(_2459_), + .X(_0181_)); + sky130_fd_sc_hd__nand2b_1 _2394_ (.A_N(net133), + .B(net103), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2460_)); - sky130_fd_sc_hd__or2_1 _3150_ (.A(_2456_), - .B(_2459_), + .Y(_0543_)); + sky130_fd_sc_hd__and2b_1 _2395_ (.A_N(\mandelbrot.alu.m1[0] ), + .B(\mandelbrot.alu.m2[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2461_)); - sky130_fd_sc_hd__nand2_1 _3151_ (.A(_2460_), - .B(_2461_), + .X(_0544_)); + sky130_fd_sc_hd__and2b_1 _2396_ (.A_N(net103), + .B(net133), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2462_)); - sky130_fd_sc_hd__nor3_1 _3152_ (.A(_2410_), - .B(_2421_), - .C(_2423_), + .X(_0545_)); + sky130_fd_sc_hd__nand2b_1 _2397_ (.A_N(\mandelbrot.alu.m2[3] ), + .B(\mandelbrot.alu.m1[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2463_)); - sky130_fd_sc_hd__nor2_1 _3153_ (.A(_2424_), - .B(_2463_), + .Y(_0546_)); + sky130_fd_sc_hd__nand2b_1 _2398_ (.A_N(\mandelbrot.alu.m2[5] ), + .B(\mandelbrot.alu.m1[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2464_)); - sky130_fd_sc_hd__xnor2_1 _3154_ (.A(_2396_), - .B(_2464_), + .Y(_0547_)); + sky130_fd_sc_hd__or2_1 _2399_ (.A(_1497_), + .B(_1498_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2465_)); - sky130_fd_sc_hd__or2_1 _3155_ (.A(_2462_), - .B(_2465_), + .X(_0548_)); + sky130_fd_sc_hd__or3b_1 _2400_ (.A(\mandelbrot.alu.m2[6] ), + .B(_1498_), + .C_N(\mandelbrot.alu.m1[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2466_)); - sky130_fd_sc_hd__a21o_1 _3156_ (.A1(_2460_), - .A2(_2466_), - .B1(_2454_), + .X(_0549_)); + sky130_fd_sc_hd__xor2_1 _2401_ (.A(\mandelbrot.alu.m2[5] ), + .B(\mandelbrot.alu.m1[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2467_)); - sky130_fd_sc_hd__nand3_1 _3157_ (.A(_2454_), - .B(_2460_), - .C(_2466_), + .X(_0550_)); + sky130_fd_sc_hd__nor2_1 _2402_ (.A(_1493_), + .B(_1495_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2468_)); - sky130_fd_sc_hd__nand2_2 _3158_ (.A(_2467_), - .B(_2468_), + .Y(_0551_)); + sky130_fd_sc_hd__xor2_1 _2403_ (.A(\mandelbrot.alu.m2[2] ), + .B(\mandelbrot.alu.m1[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2469_)); - sky130_fd_sc_hd__xor2_4 _3159_ (.A(_2425_), - .B(_2469_), + .X(_0552_)); + sky130_fd_sc_hd__xor2_1 _2404_ (.A(\mandelbrot.alu.m2[3] ), + .B(\mandelbrot.alu.m1[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2470_)); - sky130_fd_sc_hd__nand2_1 _3160_ (.A(_2462_), - .B(_2465_), + .X(_0553_)); + sky130_fd_sc_hd__a2111o_1 _2405_ (.A1(_0543_), + .A2(_0544_), + .B1(_0545_), + .C1(_0552_), + .D1(_0553_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2471_)); - sky130_fd_sc_hd__nand2_1 _3161_ (.A(_2466_), - .B(_2471_), + .X(_0554_)); + sky130_fd_sc_hd__o31a_1 _2406_ (.A1(\mandelbrot.alu.m2[2] ), + .A2(_1413_), + .A3(_0553_), + .B1(_0546_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2472_)); - sky130_fd_sc_hd__xor2_1 _3162_ (.A(_2437_), - .B(_2458_), + .X(_0555_)); + sky130_fd_sc_hd__a2111o_1 _2407_ (.A1(_0554_), + .A2(_0555_), + .B1(_0548_), + .C1(_0550_), + .D1(_0551_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2473_)); - sky130_fd_sc_hd__nand2_2 _3163_ (.A(net113), - .B(net110), + .X(_0556_)); + sky130_fd_sc_hd__o31a_1 _2408_ (.A1(\mandelbrot.alu.m2[4] ), + .A2(_1412_), + .A3(_0550_), + .B1(_0547_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2474_)); - sky130_fd_sc_hd__nor2_1 _3164_ (.A(net111), - .B(_2417_), + .X(_0557_)); + sky130_fd_sc_hd__o221a_1 _2409_ (.A1(\mandelbrot.alu.m2[7] ), + .A2(_1411_), + .B1(_0548_), + .B2(_0557_), + .C1(_0549_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2475_)); - sky130_fd_sc_hd__or2_1 _3165_ (.A(_2418_), - .B(_2475_), + .X(_0558_)); + sky130_fd_sc_hd__o21bai_1 _2410_ (.A1(\mandelbrot.alu.m2[9] ), + .A2(_1409_), + .B1_N(_1488_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2476_)); - sky130_fd_sc_hd__xnor2_1 _3166_ (.A(_2404_), - .B(_2476_), + .Y(_0559_)); + sky130_fd_sc_hd__a2111o_1 _2411_ (.A1(\mandelbrot.alu.m2[9] ), + .A2(_1409_), + .B1(_1504_), + .C1(_1507_), + .D1(_0559_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2477_)); - sky130_fd_sc_hd__and3_1 _3167_ (.A(net115), - .B(net111), - .C(_2477_), + .X(_0560_)); + sky130_fd_sc_hd__a21o_1 _2412_ (.A1(_0556_), + .A2(_0558_), + .B1(_0560_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2478_)); - sky130_fd_sc_hd__xnor2_1 _3168_ (.A(_2474_), - .B(_2477_), + .X(_0561_)); + sky130_fd_sc_hd__o22a_1 _2413_ (.A1(\mandelbrot.alu.m2[9] ), + .A2(_1409_), + .B1(\mandelbrot.alu.m2[8] ), + .B2(_1410_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2479_)); - sky130_fd_sc_hd__a21bo_1 _3169_ (.A1(_2436_), - .A2(_2479_), - .B1_N(_2433_), + .X(_0562_)); + sky130_fd_sc_hd__a21o_1 _2414_ (.A1(\mandelbrot.alu.m2[9] ), + .A2(_1409_), + .B1(_0562_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_2480_)); - sky130_fd_sc_hd__nand2_1 _3170_ (.A(_2473_), - .B(_2480_), + .X(_0563_)); + sky130_fd_sc_hd__a21oi_1 _2415_ (.A1(_1408_), + .A2(\mandelbrot.alu.m1[10] ), + .B1(_1506_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2481_)); - sky130_fd_sc_hd__nor2_1 _3171_ (.A(_2405_), - .B(net36), + .Y(_0564_)); + sky130_fd_sc_hd__o32a_1 _2416_ (.A1(_1504_), + .A2(_1507_), + .A3(_0563_), + .B1(_0564_), + .B2(_1505_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_2482_)); - sky130_fd_sc_hd__o21ba_1 _3172_ (.A1(_2403_), - .A2(_2476_), - .B1_N(_2478_), + .X(_0565_)); + sky130_fd_sc_hd__or3_1 _2417_ (.A(_1487_), + .B(_1514_), + .C(_1515_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0143_)); - sky130_fd_sc_hd__o21a_1 _3173_ (.A1(net42), - .A2(net115), - .B1(_2395_), + .X(_0566_)); + sky130_fd_sc_hd__a21o_1 _2418_ (.A1(_0561_), + .A2(_0565_), + .B1(_0566_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0144_)); - sky130_fd_sc_hd__nor2_1 _3174_ (.A(_2396_), - .B(_0144_), + .X(_0567_)); + sky130_fd_sc_hd__or3b_1 _2419_ (.A(\mandelbrot.alu.m2[12] ), + .B(_1514_), + .C_N(\mandelbrot.alu.m1[12] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0145_)); - sky130_fd_sc_hd__or3_1 _3175_ (.A(_2396_), - .B(_0143_), - .C(_0144_), + .X(_0568_)); + sky130_fd_sc_hd__and2b_1 _2420_ (.A_N(_1515_), + .B(_0568_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0146_)); - sky130_fd_sc_hd__xnor2_1 _3176_ (.A(_0143_), - .B(_0145_), + .X(_0569_)); + sky130_fd_sc_hd__a21oi_1 _2421_ (.A1(_0567_), + .A2(_0569_), + .B1(_1485_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0147_)); - sky130_fd_sc_hd__nand2_1 _3177_ (.A(_2482_), - .B(_0147_), + .Y(_0570_)); + sky130_fd_sc_hd__and3_1 _2422_ (.A(_1485_), + .B(_0567_), + .C(_0569_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0148_)); - sky130_fd_sc_hd__xnor2_1 _3178_ (.A(_2482_), - .B(_0147_), + .X(_0571_)); + sky130_fd_sc_hd__nor2_1 _2423_ (.A(_0570_), + .B(_0571_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0149_)); - sky130_fd_sc_hd__xnor2_1 _3179_ (.A(_2473_), - .B(_2480_), + .Y(_0572_)); + sky130_fd_sc_hd__and2_1 _2424_ (.A(\mandelbrot.alu.in_cr[0] ), + .B(_0572_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0150_)); - sky130_fd_sc_hd__or2_1 _3180_ (.A(_0149_), - .B(_0150_), + .X(_0573_)); + sky130_fd_sc_hd__nor2_1 _2425_ (.A(\mandelbrot.alu.in_cr[0] ), + .B(_0572_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0151_)); - sky130_fd_sc_hd__a21oi_2 _3181_ (.A1(_2481_), - .A2(_0151_), - .B1(_2472_), + .Y(_0574_)); + sky130_fd_sc_hd__or2_1 _2426_ (.A(_0573_), + .B(_0574_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0152_)); - sky130_fd_sc_hd__and3_1 _3182_ (.A(_2472_), - .B(_2481_), - .C(_0151_), + .X(_0575_)); + sky130_fd_sc_hd__nor2_1 _2427_ (.A(net106), + .B(_0575_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0153_)); - sky130_fd_sc_hd__a211oi_2 _3183_ (.A1(_0146_), - .A2(_0148_), - .B1(_0152_), - .C1(_0153_), + .Y(_0576_)); + sky130_fd_sc_hd__and3_1 _2428_ (.A(net93), + .B(net36), + .C(_0576_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0154_)); - sky130_fd_sc_hd__nor2_2 _3184_ (.A(_0152_), - .B(_0154_), + .X(_0577_)); + sky130_fd_sc_hd__a221o_1 _2429_ (.A1(net115), + .A2(net216), + .B1(net16), + .B2(\mandelbrot.alu.m3[0] ), + .C1(_0577_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0155_)); - sky130_fd_sc_hd__o21a_1 _3185_ (.A1(_0152_), - .A2(_0154_), - .B1(_2470_), + .X(_0182_)); + sky130_fd_sc_hd__and2b_1 _2430_ (.A_N(\mandelbrot.alu.m2[14] ), + .B(\mandelbrot.alu.m1[14] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0156_)); - sky130_fd_sc_hd__xnor2_4 _3186_ (.A(_2470_), - .B(_0155_), + .X(_0578_)); + sky130_fd_sc_hd__or3_1 _2431_ (.A(_1520_), + .B(_0570_), + .C(_0578_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0157_)); - sky130_fd_sc_hd__o211ai_1 _3187_ (.A1(_0152_), - .A2(_0153_), - .B1(_0146_), - .C1(_0148_), + .X(_0579_)); + sky130_fd_sc_hd__o21ai_1 _2432_ (.A1(_0570_), + .A2(_0578_), + .B1(_1520_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0158_)); - sky130_fd_sc_hd__and2b_1 _3188_ (.A_N(_0154_), - .B(_0158_), + .Y(_0580_)); + sky130_fd_sc_hd__and3_1 _2433_ (.A(\mandelbrot.alu.in_cr[1] ), + .B(_0579_), + .C(_0580_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0159_)); - sky130_fd_sc_hd__nand2_1 _3189_ (.A(_0149_), - .B(_0150_), + .X(_0581_)); + sky130_fd_sc_hd__a21o_1 _2434_ (.A1(_0579_), + .A2(_0580_), + .B1(\mandelbrot.alu.in_cr[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0160_)); - sky130_fd_sc_hd__and2_1 _3190_ (.A(_0151_), - .B(_0160_), + .X(_0582_)); + sky130_fd_sc_hd__and2b_1 _2435_ (.A_N(_0581_), + .B(_0582_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0161_)); - sky130_fd_sc_hd__xor2_1 _3191_ (.A(_2437_), - .B(_2479_), + .X(_0583_)); + sky130_fd_sc_hd__xnor2_1 _2436_ (.A(_0573_), + .B(_0583_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0162_)); - sky130_fd_sc_hd__nand2_1 _3192_ (.A(net125), - .B(net107), + .Y(_0584_)); + sky130_fd_sc_hd__nor2_1 _2437_ (.A(net106), + .B(_0584_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0163_)); - sky130_fd_sc_hd__xor2_1 _3193_ (.A(_2400_), - .B(_0163_), + .Y(_0585_)); + sky130_fd_sc_hd__and3_1 _2438_ (.A(net93), + .B(net36), + .C(_0585_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0164_)); - sky130_fd_sc_hd__nand2b_1 _3194_ (.A_N(_2435_), - .B(_0164_), + .X(_0586_)); + sky130_fd_sc_hd__a221o_1 _2439_ (.A1(net115), + .A2(net189), + .B1(net16), + .B2(net124), + .C1(_0586_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0165_)); - sky130_fd_sc_hd__xor2_1 _3195_ (.A(_2426_), - .B(_2435_), + .X(_0183_)); + sky130_fd_sc_hd__a21oi_1 _2440_ (.A1(_0573_), + .A2(_0582_), + .B1(_0581_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0166_)); - sky130_fd_sc_hd__a21o_1 _3196_ (.A1(_2432_), - .A2(_0165_), - .B1(_0166_), + .Y(_0587_)); + sky130_fd_sc_hd__nand2b_1 _2441_ (.A_N(\mandelbrot.alu.m2[15] ), + .B(\mandelbrot.alu.m1[15] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0167_)); - sky130_fd_sc_hd__nand2_1 _3197_ (.A(net117), - .B(net105), + .Y(_0588_)); + sky130_fd_sc_hd__o21ba_1 _2442_ (.A1(_1485_), + .A2(_0569_), + .B1_N(_0578_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0168_)); - sky130_fd_sc_hd__inv_2 _3198_ (.A(_0168_), + .X(_0589_)); + sky130_fd_sc_hd__o21a_1 _2443_ (.A1(_1521_), + .A2(_0589_), + .B1(_0588_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0169_)); - sky130_fd_sc_hd__a221o_1 _3199_ (.A1(net101), - .A2(_2398_), - .B1(_2402_), - .B2(net105), - .C1(_0169_), + .X(_0590_)); + sky130_fd_sc_hd__a2111o_1 _2444_ (.A1(_0561_), + .A2(_0565_), + .B1(_0566_), + .C1(_1521_), + .D1(_1485_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0170_)); - sky130_fd_sc_hd__o21a_1 _3200_ (.A1(_2403_), - .A2(_0168_), - .B1(_0170_), + .X(_0591_)); + sky130_fd_sc_hd__a21oi_4 _2445_ (.A1(_0590_), + .A2(_0591_), + .B1(_1480_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0171_)); - sky130_fd_sc_hd__nand2_1 _3201_ (.A(\mandelbrot.alu.in_zi[6] ), - .B(net110), + .Y(_0592_)); + sky130_fd_sc_hd__and3_1 _2446_ (.A(_1480_), + .B(_0590_), + .C(_0591_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0172_)); - sky130_fd_sc_hd__xor2_1 _3202_ (.A(_0171_), - .B(_0172_), + .X(_0593_)); + sky130_fd_sc_hd__nor2_1 _2447_ (.A(_0592_), + .B(_0593_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0173_)); - sky130_fd_sc_hd__nand3_1 _3203_ (.A(_2432_), - .B(_0165_), - .C(_0166_), + .Y(_0594_)); + sky130_fd_sc_hd__nand2_1 _2448_ (.A(\mandelbrot.alu.in_cr[2] ), + .B(_0594_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0174_)); - sky130_fd_sc_hd__nand2_1 _3204_ (.A(_0167_), - .B(_0174_), + .Y(_0595_)); + sky130_fd_sc_hd__or2_1 _2449_ (.A(\mandelbrot.alu.in_cr[2] ), + .B(_0594_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0175_)); - sky130_fd_sc_hd__or2_1 _3205_ (.A(_0173_), - .B(_0175_), + .X(_0596_)); + sky130_fd_sc_hd__nand2_1 _2450_ (.A(_0595_), + .B(_0596_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0176_)); - sky130_fd_sc_hd__a21oi_1 _3206_ (.A1(_0167_), - .A2(_0176_), - .B1(_0162_), + .Y(_0597_)); + sky130_fd_sc_hd__xnor2_1 _2451_ (.A(_0587_), + .B(_0597_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0177_)); - sky130_fd_sc_hd__or3_1 _3207_ (.A(net42), - .B(net125), - .C(_0168_), + .Y(_0598_)); + sky130_fd_sc_hd__nor2_1 _2452_ (.A(net106), + .B(_0598_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0178_)); - sky130_fd_sc_hd__a32o_1 _3208_ (.A1(\mandelbrot.alu.in_zi[6] ), - .A2(net112), - .A3(_0170_), - .B1(_0169_), - .B2(_2404_), + .Y(_0599_)); + sky130_fd_sc_hd__and3_1 _2453_ (.A(net93), + .B(net37), + .C(_0599_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0179_)); - sky130_fd_sc_hd__o2bb2a_1 _3209_ (.A1_N(net115), - .A2_N(net107), - .B1(net42), - .B2(net117), + .X(_0600_)); + sky130_fd_sc_hd__a221o_1 _2454_ (.A1(net115), + .A2(\mandelbrot.alu.m3[4] ), + .B1(net16), + .B2(net216), + .C1(_0600_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0180_)); - sky130_fd_sc_hd__nor2_1 _3210_ (.A(_2482_), - .B(_0180_), + .X(_0184_)); + sky130_fd_sc_hd__and2b_1 _2455_ (.A_N(\mandelbrot.alu.m2[16] ), + .B(\mandelbrot.alu.m1[16] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0181_)); - sky130_fd_sc_hd__nand2_1 _3211_ (.A(_0179_), - .B(_0181_), + .X(_0601_)); + sky130_fd_sc_hd__nor2_1 _2456_ (.A(_0592_), + .B(_0601_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0182_)); - sky130_fd_sc_hd__or2_1 _3212_ (.A(_0179_), - .B(_0181_), + .Y(_0602_)); + sky130_fd_sc_hd__xnor2_1 _2457_ (.A(_1527_), + .B(_0602_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0183_)); - sky130_fd_sc_hd__and2_1 _3213_ (.A(_0182_), - .B(_0183_), + .Y(_0603_)); + sky130_fd_sc_hd__nor2_1 _2458_ (.A(\mandelbrot.alu.in_cr[3] ), + .B(_0603_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0184_)); - sky130_fd_sc_hd__nand2b_1 _3214_ (.A_N(_0178_), - .B(_0184_), + .Y(_0604_)); + sky130_fd_sc_hd__nand2_1 _2459_ (.A(\mandelbrot.alu.in_cr[3] ), + .B(_0603_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0185_)); - sky130_fd_sc_hd__xor2_1 _3215_ (.A(_0178_), - .B(_0184_), + .Y(_0605_)); + sky130_fd_sc_hd__and2b_1 _2460_ (.A_N(_0604_), + .B(_0605_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0186_)); - sky130_fd_sc_hd__and3_1 _3216_ (.A(_0162_), - .B(_0167_), - .C(_0176_), + .X(_0606_)); + sky130_fd_sc_hd__o21ai_1 _2461_ (.A1(_0587_), + .A2(_0597_), + .B1(_0595_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0187_)); - sky130_fd_sc_hd__or2_1 _3217_ (.A(_0177_), - .B(_0187_), + .Y(_0607_)); + sky130_fd_sc_hd__xnor2_1 _2462_ (.A(_0606_), + .B(_0607_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0188_)); - sky130_fd_sc_hd__nor2_1 _3218_ (.A(_0186_), - .B(_0188_), + .Y(_0608_)); + sky130_fd_sc_hd__nor2_1 _2463_ (.A(net109), + .B(_0608_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0189_)); - sky130_fd_sc_hd__inv_2 _3219_ (.A(_0189_), + .Y(_0609_)); + sky130_fd_sc_hd__and3_1 _2464_ (.A(net93), + .B(net36), + .C(_0609_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0190_)); - sky130_fd_sc_hd__o21a_1 _3220_ (.A1(_0177_), - .A2(_0189_), - .B1(_0161_), + .X(_0610_)); + sky130_fd_sc_hd__a221o_1 _2465_ (.A1(net115), + .A2(net213), + .B1(net16), + .B2(net189), + .C1(_0610_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0191_)); - sky130_fd_sc_hd__nor3_1 _3221_ (.A(_0161_), - .B(_0177_), - .C(_0189_), + .X(_0185_)); + sky130_fd_sc_hd__a21o_1 _2466_ (.A1(_1407_), + .A2(net102), + .B1(_0601_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0192_)); - sky130_fd_sc_hd__a211oi_1 _3222_ (.A1(_0182_), - .A2(_0185_), - .B1(_0191_), - .C1(_0192_), + .X(_0611_)); + sky130_fd_sc_hd__o22a_1 _2467_ (.A1(_1407_), + .A2(net102), + .B1(_0592_), + .B2(_0611_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0193_)); - sky130_fd_sc_hd__o21ai_1 _3223_ (.A1(_0191_), - .A2(_0193_), - .B1(_0159_), + .X(_0612_)); + sky130_fd_sc_hd__o221ai_2 _2468_ (.A1(_1407_), + .A2(net102), + .B1(_0592_), + .B2(_0611_), + .C1(_1532_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0194_)); - sky130_fd_sc_hd__or3_1 _3224_ (.A(_0159_), - .B(_0191_), - .C(_0193_), + .Y(_0613_)); + sky130_fd_sc_hd__xnor2_1 _2469_ (.A(_1531_), + .B(_0612_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0195_)); - sky130_fd_sc_hd__and2_1 _3225_ (.A(_0194_), - .B(_0195_), + .Y(_0614_)); + sky130_fd_sc_hd__and2_1 _2470_ (.A(\mandelbrot.alu.in_cr[4] ), + .B(_0614_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0196_)); - sky130_fd_sc_hd__o211ai_1 _3226_ (.A1(_0191_), - .A2(_0192_), - .B1(_0182_), - .C1(_0185_), + .X(_0615_)); + sky130_fd_sc_hd__nor2_1 _2471_ (.A(\mandelbrot.alu.in_cr[4] ), + .B(_0614_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0197_)); - sky130_fd_sc_hd__and2b_1 _3227_ (.A_N(_0193_), - .B(_0197_), + .Y(_0616_)); + sky130_fd_sc_hd__or2_1 _2472_ (.A(_0615_), + .B(_0616_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0198_)); - sky130_fd_sc_hd__nand2_1 _3228_ (.A(_0186_), - .B(_0188_), + .X(_0617_)); + sky130_fd_sc_hd__o211a_1 _2473_ (.A1(_0587_), + .A2(_0597_), + .B1(_0605_), + .C1(_0595_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0199_)); - sky130_fd_sc_hd__xor2_1 _3229_ (.A(_0173_), - .B(_0175_), + .X(_0618_)); + sky130_fd_sc_hd__or2_1 _2474_ (.A(_0604_), + .B(_0618_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0200_)); - sky130_fd_sc_hd__xnor2_1 _3230_ (.A(_2435_), - .B(_0164_), + .X(_0619_)); + sky130_fd_sc_hd__xnor2_1 _2475_ (.A(_0617_), + .B(_0619_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0201_)); - sky130_fd_sc_hd__nand2_1 _3231_ (.A(net126), - .B(net110), + .Y(_0620_)); + sky130_fd_sc_hd__nor2_1 _2476_ (.A(net109), + .B(_0620_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0202_)); - sky130_fd_sc_hd__a22o_1 _3232_ (.A1(net101), - .A2(net137), - .B1(net128), - .B2(net107), + .Y(_0621_)); + sky130_fd_sc_hd__and3_1 _2477_ (.A(net93), + .B(net37), + .C(_0621_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0203_)); - sky130_fd_sc_hd__o21ai_1 _3233_ (.A1(_2399_), - .A2(net36), - .B1(_0203_), + .X(_0622_)); + sky130_fd_sc_hd__a221o_1 _2478_ (.A1(net115), + .A2(net240), + .B1(net15), + .B2(net219), + .C1(_0622_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0204_)); - sky130_fd_sc_hd__xnor2_1 _3234_ (.A(_0202_), - .B(_0204_), + .X(_0186_)); + sky130_fd_sc_hd__nand2b_1 _2479_ (.A_N(\mandelbrot.alu.m2[18] ), + .B(\mandelbrot.alu.m1[18] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0205_)); - sky130_fd_sc_hd__o21ai_1 _3235_ (.A1(_2435_), - .A2(_0205_), - .B1(_2432_), + .Y(_0623_)); + sky130_fd_sc_hd__nor2_1 _2480_ (.A(_1531_), + .B(_1533_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0206_)); - sky130_fd_sc_hd__and2_1 _3236_ (.A(_0201_), - .B(_0206_), + .Y(_0624_)); + sky130_fd_sc_hd__o221ai_4 _2481_ (.A1(_1407_), + .A2(net102), + .B1(_0592_), + .B2(_0611_), + .C1(_0624_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0207_)); - sky130_fd_sc_hd__xnor2_1 _3237_ (.A(_0201_), - .B(_0206_), + .Y(_0625_)); + sky130_fd_sc_hd__and3_1 _2482_ (.A(_1534_), + .B(_0613_), + .C(_0623_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0208_)); - sky130_fd_sc_hd__a32o_1 _3238_ (.A1(net125), - .A2(net110), - .A3(_0203_), - .B1(_2413_), - .B2(_2398_), + .X(_0626_)); + sky130_fd_sc_hd__a21oi_1 _2483_ (.A1(_0613_), + .A2(_0623_), + .B1(_1534_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0209_)); - sky130_fd_sc_hd__xor2_1 _3239_ (.A(_2405_), - .B(_0209_), + .Y(_0627_)); + sky130_fd_sc_hd__o21a_1 _2484_ (.A1(_0626_), + .A2(_0627_), + .B1(\mandelbrot.alu.in_cr[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0210_)); - sky130_fd_sc_hd__nor2_1 _3240_ (.A(_0208_), - .B(_0210_), + .X(_0628_)); + sky130_fd_sc_hd__nor3_1 _2485_ (.A(\mandelbrot.alu.in_cr[5] ), + .B(_0626_), + .C(_0627_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0211_)); - sky130_fd_sc_hd__o21a_1 _3241_ (.A1(_0207_), - .A2(_0211_), - .B1(_0200_), + .Y(_0629_)); + sky130_fd_sc_hd__nor2_1 _2486_ (.A(_0628_), + .B(_0629_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0212_)); - sky130_fd_sc_hd__nor3_1 _3242_ (.A(_1822_), - .B(net131), - .C(_0163_), + .Y(_0630_)); + sky130_fd_sc_hd__o21bai_1 _2487_ (.A1(_0617_), + .A2(_0619_), + .B1_N(_0615_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0213_)); - sky130_fd_sc_hd__o21ai_1 _3243_ (.A1(net42), - .A2(net125), - .B1(_0168_), + .Y(_0631_)); + sky130_fd_sc_hd__xnor2_1 _2488_ (.A(_0630_), + .B(_0631_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0214_)); - sky130_fd_sc_hd__and2_1 _3244_ (.A(_0178_), - .B(_0214_), + .Y(_0632_)); + sky130_fd_sc_hd__nor2_1 _2489_ (.A(net109), + .B(_0632_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0215_)); - sky130_fd_sc_hd__o211a_1 _3245_ (.A1(net117), - .A2(_0209_), - .B1(_0215_), - .C1(net116), + .Y(_0633_)); + sky130_fd_sc_hd__and3_1 _2490_ (.A(net93), + .B(net36), + .C(_0633_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0216_)); - sky130_fd_sc_hd__a211oi_1 _3246_ (.A1(net116), - .A2(_0209_), - .B1(_0215_), - .C1(_2411_), + .X(_0634_)); + sky130_fd_sc_hd__a221o_1 _2491_ (.A1(net115), + .A2(\mandelbrot.alu.m3[7] ), + .B1(net16), + .B2(net213), + .C1(_0634_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0217_)); - sky130_fd_sc_hd__nor2_1 _3247_ (.A(_0216_), - .B(_0217_), + .X(_0187_)); + sky130_fd_sc_hd__nand2b_1 _2492_ (.A_N(\mandelbrot.alu.m2[19] ), + .B(\mandelbrot.alu.m1[19] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0218_)); - sky130_fd_sc_hd__nor2_1 _3248_ (.A(_0213_), - .B(_0218_), + .Y(_0635_)); + sky130_fd_sc_hd__o21a_1 _2493_ (.A1(_1533_), + .A2(_0623_), + .B1(_0635_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0219_)); - sky130_fd_sc_hd__and2_1 _3249_ (.A(_0213_), - .B(_0218_), + .X(_0636_)); + sky130_fd_sc_hd__nand3_1 _2494_ (.A(_1471_), + .B(_0625_), + .C(_0636_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0220_)); - sky130_fd_sc_hd__or2_1 _3250_ (.A(_0219_), - .B(_0220_), + .Y(_0637_)); + sky130_fd_sc_hd__a21o_1 _2495_ (.A1(_0625_), + .A2(_0636_), + .B1(_1471_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0221_)); - sky130_fd_sc_hd__nor3_1 _3251_ (.A(_0200_), - .B(_0207_), - .C(_0211_), + .X(_0638_)); + sky130_fd_sc_hd__and3_1 _2496_ (.A(\mandelbrot.alu.in_cr[6] ), + .B(_0637_), + .C(_0638_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0222_)); - sky130_fd_sc_hd__nor3_2 _3252_ (.A(_0212_), - .B(_0221_), - .C(_0222_), + .X(_0639_)); + sky130_fd_sc_hd__a21oi_1 _2497_ (.A1(_0637_), + .A2(_0638_), + .B1(\mandelbrot.alu.in_cr[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0223_)); - sky130_fd_sc_hd__o211ai_2 _3253_ (.A1(_0212_), - .A2(_0223_), - .B1(_0190_), - .C1(_0199_), + .Y(_0640_)); + sky130_fd_sc_hd__or2_1 _2498_ (.A(_0639_), + .B(_0640_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0224_)); - sky130_fd_sc_hd__inv_2 _3254_ (.A(_0224_), + .X(_0641_)); + sky130_fd_sc_hd__nor2_1 _2499_ (.A(_0615_), + .B(_0628_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0225_)); - sky130_fd_sc_hd__a211o_1 _3255_ (.A1(_0190_), - .A2(_0199_), - .B1(_0212_), - .C1(_0223_), + .Y(_0642_)); + sky130_fd_sc_hd__o31a_1 _2500_ (.A1(_0604_), + .A2(_0617_), + .A3(_0618_), + .B1(_0642_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0226_)); - sky130_fd_sc_hd__o211a_1 _3256_ (.A1(_0216_), - .A2(_0220_), - .B1(_0224_), - .C1(_0226_), + .X(_0643_)); + sky130_fd_sc_hd__nor2_1 _2501_ (.A(_0629_), + .B(_0643_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0227_)); - sky130_fd_sc_hd__o21ai_1 _3257_ (.A1(_0225_), - .A2(_0227_), - .B1(_0198_), + .Y(_0644_)); + sky130_fd_sc_hd__and2b_1 _2502_ (.A_N(_0641_), + .B(_0644_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0228_)); - sky130_fd_sc_hd__or3_1 _3258_ (.A(_0198_), - .B(_0225_), - .C(_0227_), + .X(_0645_)); + sky130_fd_sc_hd__xor2_1 _2503_ (.A(_0641_), + .B(_0644_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0229_)); - sky130_fd_sc_hd__and2_1 _3259_ (.A(_0228_), - .B(_0229_), + .X(_0646_)); + sky130_fd_sc_hd__nor2_1 _2504_ (.A(net108), + .B(_0646_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0230_)); - sky130_fd_sc_hd__a211oi_1 _3260_ (.A1(_0224_), - .A2(_0226_), - .B1(_0216_), - .C1(_0220_), + .Y(_0647_)); + sky130_fd_sc_hd__and3_1 _2505_ (.A(net93), + .B(net37), + .C(_0647_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0231_)); - sky130_fd_sc_hd__nor2_1 _3261_ (.A(_0227_), - .B(_0231_), + .X(_0648_)); + sky130_fd_sc_hd__a221o_1 _2506_ (.A1(net114), + .A2(net191), + .B1(net15), + .B2(\mandelbrot.alu.m3[6] ), + .C1(_0648_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0232_)); - sky130_fd_sc_hd__o21a_1 _3262_ (.A1(_0212_), - .A2(_0222_), - .B1(_0221_), + .X(_0188_)); + sky130_fd_sc_hd__nand2b_1 _2507_ (.A_N(\mandelbrot.alu.m2[20] ), + .B(\mandelbrot.alu.m1[20] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0233_)); - sky130_fd_sc_hd__xor2_1 _3263_ (.A(_0208_), - .B(_0210_), + .Y(_0649_)); + sky130_fd_sc_hd__nand3_1 _2508_ (.A(_1539_), + .B(_0638_), + .C(_0649_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0234_)); - sky130_fd_sc_hd__xor2_1 _3264_ (.A(_2435_), - .B(_0205_), + .Y(_0650_)); + sky130_fd_sc_hd__a21o_1 _2509_ (.A1(_0638_), + .A2(_0649_), + .B1(_1539_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0235_)); - sky130_fd_sc_hd__nand2_1 _3265_ (.A(net126), - .B(net115), + .X(_0651_)); + sky130_fd_sc_hd__a21boi_1 _2510_ (.A1(_0650_), + .A2(_0651_), + .B1_N(\mandelbrot.alu.in_cr[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0236_)); - sky130_fd_sc_hd__nand2_1 _3266_ (.A(net131), - .B(net112), + .Y(_0652_)); + sky130_fd_sc_hd__and3b_1 _2511_ (.A_N(\mandelbrot.alu.in_cr[7] ), + .B(_0650_), + .C(_0651_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0237_)); - sky130_fd_sc_hd__a22o_1 _3267_ (.A1(net131), - .A2(net112), - .B1(net106), - .B2(net137), + .X(_0653_)); + sky130_fd_sc_hd__nand3b_1 _2512_ (.A_N(\mandelbrot.alu.in_cr[7] ), + .B(_0650_), + .C(_0651_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0238_)); - sky130_fd_sc_hd__o21ai_1 _3268_ (.A1(_2395_), - .A2(_2399_), - .B1(_0238_), + .Y(_0654_)); + sky130_fd_sc_hd__or2_1 _2513_ (.A(_0652_), + .B(_0653_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0239_)); - sky130_fd_sc_hd__xnor2_1 _3269_ (.A(_0236_), - .B(_0239_), + .X(_0655_)); + sky130_fd_sc_hd__nor2_1 _2514_ (.A(_0639_), + .B(_0645_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0240_)); - sky130_fd_sc_hd__and3_1 _3270_ (.A(net139), - .B(_2430_), - .C(_2434_), + .Y(_0656_)); + sky130_fd_sc_hd__xnor2_1 _2515_ (.A(_0655_), + .B(_0656_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0241_)); - sky130_fd_sc_hd__nand4_1 _3271_ (.A(net138), - .B(_2413_), - .C(net35), - .D(_2434_), + .Y(_0657_)); + sky130_fd_sc_hd__nor2_1 _2516_ (.A(net108), + .B(_0657_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0242_)); - sky130_fd_sc_hd__o21a_1 _3272_ (.A1(_2435_), - .A2(_0241_), - .B1(_0242_), + .Y(_0658_)); + sky130_fd_sc_hd__and3_1 _2517_ (.A(net93), + .B(net37), + .C(_0658_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0243_)); - sky130_fd_sc_hd__o21a_1 _3273_ (.A1(_0240_), - .A2(_0243_), - .B1(_2432_), + .X(_0659_)); + sky130_fd_sc_hd__a221o_1 _2518_ (.A1(net114), + .A2(net166), + .B1(net15), + .B2(\mandelbrot.alu.m3[7] ), + .C1(_0659_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0244_)); - sky130_fd_sc_hd__and2b_1 _3274_ (.A_N(_0244_), - .B(_0235_), + .X(_0189_)); + sky130_fd_sc_hd__or3_1 _2519_ (.A(_0641_), + .B(_0652_), + .C(_0653_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0245_)); - sky130_fd_sc_hd__o22ai_2 _3275_ (.A1(_2395_), - .A2(_2399_), - .B1(_0236_), - .B2(_0239_), + .X(_0660_)); + sky130_fd_sc_hd__a21oi_1 _2520_ (.A1(_0639_), + .A2(_0654_), + .B1(_0652_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0246_)); - sky130_fd_sc_hd__xor2_1 _3276_ (.A(_0202_), - .B(_0246_), + .Y(_0661_)); + sky130_fd_sc_hd__o31a_1 _2521_ (.A1(_0629_), + .A2(_0643_), + .A3(_0660_), + .B1(_0661_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0247_)); - sky130_fd_sc_hd__nor2_1 _3277_ (.A(_1824_), - .B(_0236_), + .X(_0662_)); + sky130_fd_sc_hd__nand2_1 _2522_ (.A(_1472_), + .B(_1539_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0248_)); - sky130_fd_sc_hd__and2_1 _3278_ (.A(_1824_), - .B(_0236_), + .Y(_0663_)); + sky130_fd_sc_hd__a21oi_1 _2523_ (.A1(_0625_), + .A2(_0636_), + .B1(_0663_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0249_)); - sky130_fd_sc_hd__or3_1 _3279_ (.A(_0237_), - .B(_0248_), - .C(_0249_), + .Y(_0664_)); + sky130_fd_sc_hd__nand2_1 _2524_ (.A(\mandelbrot.alu.m1[20] ), + .B(_1539_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0250_)); - sky130_fd_sc_hd__nand2b_1 _3280_ (.A_N(_0248_), - .B(_0250_), + .Y(_0665_)); + sky130_fd_sc_hd__o22a_1 _2525_ (.A1(\mandelbrot.alu.m2[21] ), + .A2(_1406_), + .B1(\mandelbrot.alu.m2[20] ), + .B2(_0665_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0251_)); - sky130_fd_sc_hd__and2b_1 _3281_ (.A_N(_0247_), - .B(_0251_), + .X(_0666_)); + sky130_fd_sc_hd__inv_2 _2526_ (.A(_0666_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0252_)); - sky130_fd_sc_hd__xor2_1 _3282_ (.A(_0247_), - .B(_0251_), + .Y(_0667_)); + sky130_fd_sc_hd__o21a_1 _2527_ (.A1(_0664_), + .A2(_0667_), + .B1(_1467_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0253_)); - sky130_fd_sc_hd__xor2_1 _3283_ (.A(_0235_), - .B(_0244_), + .X(_0668_)); + sky130_fd_sc_hd__or3_1 _2528_ (.A(_1467_), + .B(_0664_), + .C(_0667_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0254_)); - sky130_fd_sc_hd__nor2_1 _3284_ (.A(_0253_), - .B(_0254_), + .X(_0669_)); + sky130_fd_sc_hd__and2b_1 _2529_ (.A_N(_0668_), + .B(_0669_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0255_)); - sky130_fd_sc_hd__o21ai_1 _3285_ (.A1(_0245_), - .A2(_0255_), - .B1(_0234_), + .X(_0670_)); + sky130_fd_sc_hd__and2_1 _2530_ (.A(\mandelbrot.alu.in_cr[8] ), + .B(_0670_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0256_)); - sky130_fd_sc_hd__and4b_1 _3286_ (.A_N(net136), - .B(net131), - .C(net107), - .D(net102), + .X(_0671_)); + sky130_fd_sc_hd__nor2_1 _2531_ (.A(\mandelbrot.alu.in_cr[8] ), + .B(_0670_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0257_)); - sky130_fd_sc_hd__a31oi_2 _3287_ (.A1(net126), - .A2(net111), - .A3(_0246_), - .B1(_0252_), + .Y(_0672_)); + sky130_fd_sc_hd__or2_1 _2532_ (.A(_0671_), + .B(_0672_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0258_)); - sky130_fd_sc_hd__o21a_1 _3288_ (.A1(net42), - .A2(net131), - .B1(_0163_), + .X(_0673_)); + sky130_fd_sc_hd__xnor2_1 _2533_ (.A(_0662_), + .B(_0673_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0259_)); - sky130_fd_sc_hd__nor2_1 _3289_ (.A(_0213_), - .B(_0259_), + .Y(_0674_)); + sky130_fd_sc_hd__nor2_1 _2534_ (.A(net107), + .B(_0674_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0260_)); - sky130_fd_sc_hd__or3_1 _3290_ (.A(_0213_), - .B(_0258_), - .C(_0259_), + .Y(_0675_)); + sky130_fd_sc_hd__and3_1 _2535_ (.A(net93), + .B(net38), + .C(_0675_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0261_)); - sky130_fd_sc_hd__xnor2_1 _3291_ (.A(_0258_), - .B(_0260_), + .X(_0676_)); + sky130_fd_sc_hd__a221o_1 _2536_ (.A1(net114), + .A2(net224), + .B1(net15), + .B2(net191), + .C1(_0676_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0262_)); - sky130_fd_sc_hd__xnor2_1 _3292_ (.A(_0257_), - .B(_0262_), + .X(_0190_)); + sky130_fd_sc_hd__and2b_1 _2537_ (.A_N(\mandelbrot.alu.m2[22] ), + .B(\mandelbrot.alu.m1[22] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0263_)); - sky130_fd_sc_hd__or3_1 _3293_ (.A(_0234_), - .B(_0245_), - .C(_0255_), + .X(_0677_)); + sky130_fd_sc_hd__nor2_1 _2538_ (.A(_0668_), + .B(_0677_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0264_)); - sky130_fd_sc_hd__nand2_1 _3294_ (.A(_0256_), - .B(_0264_), + .Y(_0678_)); + sky130_fd_sc_hd__xnor2_2 _2539_ (.A(_1465_), + .B(_0678_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0265_)); - sky130_fd_sc_hd__or2_1 _3295_ (.A(_0263_), - .B(_0265_), + .Y(_0679_)); + sky130_fd_sc_hd__nor2_1 _2540_ (.A(\mandelbrot.alu.in_cr[9] ), + .B(_0679_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0266_)); - sky130_fd_sc_hd__a211oi_1 _3296_ (.A1(_0256_), - .A2(_0266_), - .B1(_0223_), - .C1(_0233_), + .Y(_0680_)); + sky130_fd_sc_hd__xnor2_1 _2541_ (.A(\mandelbrot.alu.in_cr[9] ), + .B(_0679_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0267_)); - sky130_fd_sc_hd__a21bo_1 _3297_ (.A1(_0257_), - .A2(_0262_), - .B1_N(_0261_), + .Y(_0681_)); + sky130_fd_sc_hd__o21ba_1 _2542_ (.A1(_0662_), + .A2(_0673_), + .B1_N(_0671_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0268_)); - sky130_fd_sc_hd__o211a_1 _3298_ (.A1(_0223_), - .A2(_0233_), - .B1(_0256_), - .C1(_0266_), + .X(_0682_)); + sky130_fd_sc_hd__xnor2_1 _2543_ (.A(_0681_), + .B(_0682_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0269_)); - sky130_fd_sc_hd__nor2_1 _3299_ (.A(_0267_), - .B(_0269_), + .Y(_0683_)); + sky130_fd_sc_hd__nor2_1 _2544_ (.A(net108), + .B(_0683_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0270_)); - sky130_fd_sc_hd__a21oi_1 _3300_ (.A1(_0268_), - .A2(_0270_), - .B1(_0267_), + .Y(_0684_)); + sky130_fd_sc_hd__and3_1 _2545_ (.A(net94), + .B(net37), + .C(_0684_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0271_)); - sky130_fd_sc_hd__and2b_1 _3301_ (.A_N(_0271_), - .B(_0232_), + .X(_0685_)); + sky130_fd_sc_hd__a221o_1 _2546_ (.A1(net114), + .A2(net222), + .B1(net15), + .B2(net166), + .C1(_0685_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0272_)); - sky130_fd_sc_hd__xnor2_1 _3302_ (.A(_0232_), - .B(_0271_), + .X(_0191_)); + sky130_fd_sc_hd__and2b_1 _2547_ (.A_N(\mandelbrot.alu.m2[23] ), + .B(\mandelbrot.alu.m1[23] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0273_)); - sky130_fd_sc_hd__xor2_1 _3303_ (.A(_0268_), - .B(_0270_), + .X(_0686_)); + sky130_fd_sc_hd__a21o_1 _2548_ (.A1(_1465_), + .A2(_0677_), + .B1(_0686_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0274_)); - sky130_fd_sc_hd__a32o_1 _3304_ (.A1(net101), - .A2(_2430_), - .A3(_2434_), - .B1(net142), - .B2(net106), + .X(_0687_)); + sky130_fd_sc_hd__a21o_1 _2549_ (.A1(_1465_), + .A2(_1467_), + .B1(_0687_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0275_)); - sky130_fd_sc_hd__nand2_1 _3305_ (.A(net146), - .B(net106), + .X(_0688_)); + sky130_fd_sc_hd__or2_1 _2550_ (.A(_0667_), + .B(_0687_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0276_)); - sky130_fd_sc_hd__a21o_1 _3306_ (.A1(net147), - .A2(net106), - .B1(net150), + .X(_0689_)); + sky130_fd_sc_hd__o21a_1 _2551_ (.A1(_0664_), + .A2(_0689_), + .B1(_0688_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0277_)); - sky130_fd_sc_hd__nand4_1 _3307_ (.A(net101), - .B(_0242_), - .C(_0275_), - .D(_0277_), + .X(_0690_)); + sky130_fd_sc_hd__xnor2_1 _2552_ (.A(_1548_), + .B(_0690_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0278_)); - sky130_fd_sc_hd__nand2_1 _3308_ (.A(net129), - .B(net119), + .Y(_0691_)); + sky130_fd_sc_hd__and2_1 _2553_ (.A(\mandelbrot.alu.in_cr[10] ), + .B(_0691_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0279_)); - sky130_fd_sc_hd__nand2_1 _3309_ (.A(net128), - .B(net125), + .X(_0692_)); + sky130_fd_sc_hd__xnor2_1 _2554_ (.A(\mandelbrot.alu.in_cr[10] ), + .B(_0691_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0280_)); - sky130_fd_sc_hd__and3_1 _3310_ (.A(net127), - .B(net125), - .C(net117), + .Y(_0693_)); + sky130_fd_sc_hd__a21oi_1 _2555_ (.A1(\mandelbrot.alu.in_cr[9] ), + .A2(_0679_), + .B1(_0671_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0281_)); - sky130_fd_sc_hd__nand4_1 _3311_ (.A(net131), - .B(net125), - .C(net121), - .D(net116), + .Y(_0694_)); + sky130_fd_sc_hd__o32a_1 _2556_ (.A1(_0662_), + .A2(_0673_), + .A3(_0681_), + .B1(_0694_), + .B2(_0680_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0282_)); - sky130_fd_sc_hd__a22o_1 _3312_ (.A1(net126), - .A2(net121), - .B1(net116), - .B2(net131), + .X(_0695_)); + sky130_fd_sc_hd__xnor2_1 _2557_ (.A(_0693_), + .B(_0695_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0283_)); - sky130_fd_sc_hd__a22o_1 _3313_ (.A1(net136), - .A2(net110), - .B1(_0282_), - .B2(_0283_), + .Y(_0696_)); + sky130_fd_sc_hd__nor2_1 _2558_ (.A(net108), + .B(_0696_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0284_)); - sky130_fd_sc_hd__nand4_1 _3314_ (.A(net136), - .B(net110), - .C(_0282_), - .D(_0283_), + .Y(_0697_)); + sky130_fd_sc_hd__and3_1 _2559_ (.A(net94), + .B(net38), + .C(_0697_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0285_)); - sky130_fd_sc_hd__and2_1 _3315_ (.A(_0284_), - .B(_0285_), + .X(_0698_)); + sky130_fd_sc_hd__a221o_1 _2560_ (.A1(net114), + .A2(net223), + .B1(net15), + .B2(net224), + .C1(_0698_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0286_)); - sky130_fd_sc_hd__a22o_1 _3316_ (.A1(_0242_), - .A2(_0275_), - .B1(_0277_), - .B2(net101), + .X(_0192_)); + sky130_fd_sc_hd__and2b_1 _2561_ (.A_N(\mandelbrot.alu.m2[24] ), + .B(\mandelbrot.alu.m1[24] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0287_)); - sky130_fd_sc_hd__nand3_1 _3317_ (.A(_0278_), - .B(_0286_), - .C(_0287_), + .X(_0699_)); + sky130_fd_sc_hd__o2111a_1 _2562_ (.A1(_0664_), + .A2(_0689_), + .B1(_0688_), + .C1(_1544_), + .D1(_1547_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0288_)); - sky130_fd_sc_hd__a21bo_1 _3318_ (.A1(_0286_), - .A2(_0287_), - .B1_N(_0278_), + .X(_0700_)); + sky130_fd_sc_hd__and3b_1 _2563_ (.A_N(\mandelbrot.alu.m2[24] ), + .B(\mandelbrot.alu.m1[24] ), + .C(_1544_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0289_)); - sky130_fd_sc_hd__xor2_1 _3319_ (.A(_0240_), - .B(_0243_), + .X(_0701_)); + sky130_fd_sc_hd__nor2_1 _2564_ (.A(_0700_), + .B(_0701_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0290_)); - sky130_fd_sc_hd__o21ai_1 _3320_ (.A1(_0248_), - .A2(_0249_), - .B1(_0237_), + .Y(_0702_)); + sky130_fd_sc_hd__a211o_1 _2565_ (.A1(_1547_), + .A2(_0690_), + .B1(_0699_), + .C1(_1544_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0291_)); - sky130_fd_sc_hd__and2_1 _3321_ (.A(_0250_), - .B(_0291_), + .X(_0703_)); + sky130_fd_sc_hd__a21oi_1 _2566_ (.A1(_0702_), + .A2(_0703_), + .B1(\mandelbrot.alu.in_cr[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0292_)); - sky130_fd_sc_hd__xor2_1 _3322_ (.A(_0289_), - .B(_0290_), + .Y(_0704_)); + sky130_fd_sc_hd__and3_1 _2567_ (.A(\mandelbrot.alu.in_cr[11] ), + .B(_0702_), + .C(_0703_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0293_)); - sky130_fd_sc_hd__and2_1 _3323_ (.A(_0292_), - .B(_0293_), + .X(_0705_)); + sky130_fd_sc_hd__inv_2 _2568_ (.A(_0705_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0294_)); - sky130_fd_sc_hd__a21o_1 _3324_ (.A1(_0289_), - .A2(_0290_), - .B1(_0294_), + .Y(_0706_)); + sky130_fd_sc_hd__nor2_1 _2569_ (.A(_0704_), + .B(_0705_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0295_)); - sky130_fd_sc_hd__and2_1 _3325_ (.A(_0253_), - .B(_0254_), + .Y(_0707_)); + sky130_fd_sc_hd__o21bai_1 _2570_ (.A1(_0693_), + .A2(_0695_), + .B1_N(_0692_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0296_)); - sky130_fd_sc_hd__nor2_1 _3326_ (.A(_0255_), - .B(_0296_), + .Y(_0708_)); + sky130_fd_sc_hd__xnor2_1 _2571_ (.A(_0707_), + .B(_0708_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0297_)); - sky130_fd_sc_hd__and4b_1 _3327_ (.A_N(net142), - .B(net136), - .C(net106), - .D(net101), + .Y(_0709_)); + sky130_fd_sc_hd__nor2_1 _2572_ (.A(net108), + .B(_0709_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0298_)); - sky130_fd_sc_hd__and2_1 _3328_ (.A(_0282_), - .B(_0285_), + .Y(_0710_)); + sky130_fd_sc_hd__and3_1 _2573_ (.A(net94), + .B(net37), + .C(_0710_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0299_)); - sky130_fd_sc_hd__o22a_1 _3329_ (.A1(net43), - .A2(net135), - .B1(_1823_), - .B2(_1826_), + .X(_0711_)); + sky130_fd_sc_hd__a221o_1 _2574_ (.A1(net114), + .A2(\mandelbrot.alu.m3[13] ), + .B1(net222), + .B2(net15), + .C1(_0711_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0300_)); - sky130_fd_sc_hd__nor2_1 _3330_ (.A(_0257_), - .B(_0300_), + .X(_0193_)); + sky130_fd_sc_hd__or3_1 _2575_ (.A(_0693_), + .B(_0704_), + .C(_0705_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0301_)); - sky130_fd_sc_hd__xnor2_1 _3331_ (.A(_0299_), - .B(_0301_), + .X(_0712_)); + sky130_fd_sc_hd__nand2b_1 _2576_ (.A_N(_0704_), + .B(_0692_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0302_)); - sky130_fd_sc_hd__or2_1 _3332_ (.A(_0298_), - .B(_0302_), + .Y(_0713_)); + sky130_fd_sc_hd__o311a_1 _2577_ (.A1(_0680_), + .A2(_0694_), + .A3(_0712_), + .B1(_0713_), + .C1(_0706_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0303_)); - sky130_fd_sc_hd__nand2_1 _3333_ (.A(_0298_), - .B(_0302_), + .X(_0714_)); + sky130_fd_sc_hd__or4_1 _2578_ (.A(_0662_), + .B(_0673_), + .C(_0681_), + .D(_0712_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0304_)); - sky130_fd_sc_hd__nand2_1 _3334_ (.A(_0303_), - .B(_0304_), + .X(_0715_)); + sky130_fd_sc_hd__and2_1 _2579_ (.A(_0714_), + .B(_0715_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0305_)); - sky130_fd_sc_hd__xnor2_1 _3335_ (.A(_0295_), - .B(_0297_), + .X(_0716_)); + sky130_fd_sc_hd__a21o_1 _2580_ (.A1(_1405_), + .A2(\mandelbrot.alu.m1[25] ), + .B1(_0701_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0306_)); - sky130_fd_sc_hd__nor2_1 _3336_ (.A(_0305_), - .B(_0306_), + .X(_0717_)); + sky130_fd_sc_hd__nor2_1 _2581_ (.A(_0700_), + .B(_0717_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0307_)); - sky130_fd_sc_hd__a21oi_1 _3337_ (.A1(_0295_), - .A2(_0297_), - .B1(_0307_), + .Y(_0718_)); + sky130_fd_sc_hd__xnor2_1 _2582_ (.A(_1564_), + .B(_0718_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0308_)); - sky130_fd_sc_hd__nand2_1 _3338_ (.A(_0263_), - .B(_0265_), + .Y(_0719_)); + sky130_fd_sc_hd__and2_1 _2583_ (.A(\mandelbrot.alu.in_cr[12] ), + .B(_0719_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0309_)); - sky130_fd_sc_hd__nand2_1 _3339_ (.A(_0266_), - .B(_0309_), + .X(_0720_)); + sky130_fd_sc_hd__inv_2 _2584_ (.A(_0720_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0310_)); - sky130_fd_sc_hd__nor2_1 _3340_ (.A(_0308_), - .B(_0310_), + .Y(_0721_)); + sky130_fd_sc_hd__nor2_1 _2585_ (.A(\mandelbrot.alu.in_cr[12] ), + .B(_0719_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0311_)); - sky130_fd_sc_hd__o31ai_1 _3341_ (.A1(_0257_), - .A2(_0299_), - .A3(_0300_), - .B1(_0304_), + .Y(_0722_)); + sky130_fd_sc_hd__or2_1 _2586_ (.A(_0720_), + .B(_0722_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0312_)); - sky130_fd_sc_hd__xnor2_1 _3342_ (.A(_0308_), - .B(_0310_), + .X(_0723_)); + sky130_fd_sc_hd__xor2_1 _2587_ (.A(_0716_), + .B(_0723_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0313_)); - sky130_fd_sc_hd__and2b_1 _3343_ (.A_N(_0313_), - .B(_0312_), + .X(_0724_)); + sky130_fd_sc_hd__and2_1 _2588_ (.A(net99), + .B(_0724_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0314_)); - sky130_fd_sc_hd__or3_1 _3344_ (.A(_0274_), - .B(_0311_), - .C(_0314_), + .X(_0725_)); + sky130_fd_sc_hd__and3_1 _2589_ (.A(net94), + .B(net38), + .C(_0725_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0315_)); - sky130_fd_sc_hd__o21a_1 _3345_ (.A1(_0311_), - .A2(_0314_), - .B1(_0274_), + .X(_0726_)); + sky130_fd_sc_hd__a221o_1 _2590_ (.A1(net114), + .A2(\mandelbrot.alu.m3[14] ), + .B1(net223), + .B2(net15), + .C1(_0726_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0316_)); - sky130_fd_sc_hd__inv_2 _3346_ (.A(_0316_), + .X(_0194_)); + sky130_fd_sc_hd__nand2_1 _2591_ (.A(_1403_), + .B(\mandelbrot.alu.m1[26] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0317_)); - sky130_fd_sc_hd__xor2_1 _3347_ (.A(_0312_), - .B(_0313_), + .Y(_0727_)); + sky130_fd_sc_hd__o21a_1 _2592_ (.A1(_1565_), + .A2(_0718_), + .B1(_0727_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0318_)); - sky130_fd_sc_hd__xor2_1 _3348_ (.A(_0305_), - .B(_0306_), + .X(_0728_)); + sky130_fd_sc_hd__xnor2_1 _2593_ (.A(_1575_), + .B(_0728_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0319_)); - sky130_fd_sc_hd__nor2_1 _3349_ (.A(_0292_), - .B(_0293_), + .Y(_0729_)); + sky130_fd_sc_hd__nor2_1 _2594_ (.A(\mandelbrot.alu.in_cr[13] ), + .B(_0729_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0320_)); - sky130_fd_sc_hd__or2_1 _3350_ (.A(_0294_), - .B(_0320_), + .Y(_0730_)); + sky130_fd_sc_hd__and2_1 _2595_ (.A(\mandelbrot.alu.in_cr[13] ), + .B(_0729_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0321_)); - sky130_fd_sc_hd__nand2_1 _3351_ (.A(net146), - .B(net110), + .X(_0731_)); + sky130_fd_sc_hd__nor2_1 _2596_ (.A(_0730_), + .B(_0731_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0322_)); - sky130_fd_sc_hd__nand4_1 _3352_ (.A(net150), - .B(net146), - .C(net109), - .D(net104), + .Y(_0732_)); + sky130_fd_sc_hd__o21a_1 _2597_ (.A1(_0716_), + .A2(_0722_), + .B1(_0721_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0323_)); - sky130_fd_sc_hd__and2_1 _3353_ (.A(net140), - .B(net113), + .X(_0733_)); + sky130_fd_sc_hd__xor2_1 _2598_ (.A(_0732_), + .B(_0733_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0324_)); - sky130_fd_sc_hd__a22o_1 _3354_ (.A1(net146), - .A2(net109), - .B1(net104), - .B2(net150), + .X(_0734_)); + sky130_fd_sc_hd__nor2_1 _2599_ (.A(net107), + .B(_0734_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0325_)); - sky130_fd_sc_hd__nand3_1 _3355_ (.A(_0323_), - .B(_0324_), - .C(_0325_), + .Y(_0735_)); + sky130_fd_sc_hd__and3_1 _2600_ (.A(net94), + .B(net39), + .C(_0735_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0326_)); - sky130_fd_sc_hd__a21bo_1 _3356_ (.A1(_0324_), - .A2(_0325_), - .B1_N(_0323_), + .X(_0736_)); + sky130_fd_sc_hd__a221o_1 _2601_ (.A1(net114), + .A2(net315), + .B1(\mandelbrot.alu.m3[13] ), + .B2(net15), + .C1(_0736_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0327_)); - sky130_fd_sc_hd__and2b_1 _3357_ (.A_N(net150), - .B(net101), + .X(_0195_)); + sky130_fd_sc_hd__and2b_1 _2602_ (.A_N(\mandelbrot.alu.m2[27] ), + .B(\mandelbrot.alu.m1[27] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0328_)); - sky130_fd_sc_hd__xnor2_1 _3358_ (.A(_0276_), - .B(_0328_), + .X(_0737_)); + sky130_fd_sc_hd__a31o_1 _2603_ (.A1(_1403_), + .A2(\mandelbrot.alu.m1[26] ), + .A3(_1575_), + .B1(_0737_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0329_)); - sky130_fd_sc_hd__nand2_1 _3359_ (.A(_0327_), - .B(_0329_), + .X(_0738_)); + sky130_fd_sc_hd__a21o_1 _2604_ (.A1(_1564_), + .A2(_1575_), + .B1(_0738_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0330_)); - sky130_fd_sc_hd__or2_1 _3360_ (.A(_0327_), - .B(_0329_), + .X(_0739_)); + sky130_fd_sc_hd__or3_1 _2605_ (.A(_0700_), + .B(_0717_), + .C(_0738_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0331_)); - sky130_fd_sc_hd__xnor2_1 _3361_ (.A(_0327_), - .B(_0329_), + .X(_0740_)); + sky130_fd_sc_hd__nand2_1 _2606_ (.A(_0739_), + .B(_0740_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0332_)); - sky130_fd_sc_hd__nand2_4 _3362_ (.A(net141), - .B(net135), + .Y(_0741_)); + sky130_fd_sc_hd__xnor2_2 _2607_ (.A(_1561_), + .B(_0741_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0333_)); - sky130_fd_sc_hd__nand2_1 _3363_ (.A(net134), - .B(net113), + .Y(_0742_)); + sky130_fd_sc_hd__nand2_1 _2608_ (.A(\mandelbrot.alu.in_cr[14] ), + .B(_0742_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0334_)); - sky130_fd_sc_hd__nor2_1 _3364_ (.A(_2474_), - .B(_0333_), + .Y(_0743_)); + sky130_fd_sc_hd__nor2_1 _2609_ (.A(\mandelbrot.alu.in_cr[14] ), + .B(_0742_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0335_)); - sky130_fd_sc_hd__a22o_1 _3365_ (.A1(net135), - .A2(net113), - .B1(net110), - .B2(net142), + .Y(_0744_)); + sky130_fd_sc_hd__or2_1 _2610_ (.A(\mandelbrot.alu.in_cr[14] ), + .B(_0742_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0336_)); - sky130_fd_sc_hd__o21a_1 _3366_ (.A1(_2474_), - .A2(_0333_), - .B1(_0336_), + .X(_0745_)); + sky130_fd_sc_hd__nand2_1 _2611_ (.A(_0743_), + .B(_0745_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0337_)); - sky130_fd_sc_hd__xnor2_1 _3367_ (.A(_0279_), - .B(_0337_), + .Y(_0746_)); + sky130_fd_sc_hd__a2111o_1 _2612_ (.A1(_0714_), + .A2(_0715_), + .B1(_0723_), + .C1(_0730_), + .D1(_0731_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0338_)); - sky130_fd_sc_hd__a21bo_1 _3368_ (.A1(_0331_), - .A2(_0338_), - .B1_N(_0330_), + .X(_0747_)); + sky130_fd_sc_hd__o21ba_1 _2613_ (.A1(_0721_), + .A2(_0730_), + .B1_N(_0731_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0339_)); - sky130_fd_sc_hd__a21o_1 _3369_ (.A1(_0278_), - .A2(_0287_), - .B1(_0286_), + .X(_0748_)); + sky130_fd_sc_hd__nand2_1 _2614_ (.A(_0747_), + .B(_0748_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0340_)); - sky130_fd_sc_hd__nand3_1 _3370_ (.A(_0288_), - .B(_0339_), - .C(_0340_), + .Y(_0749_)); + sky130_fd_sc_hd__xnor2_1 _2615_ (.A(_0746_), + .B(_0749_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0341_)); - sky130_fd_sc_hd__a21oi_1 _3371_ (.A1(net128), - .A2(net117), - .B1(net125), + .Y(_0750_)); + sky130_fd_sc_hd__and2_1 _2616_ (.A(net99), + .B(_0750_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0342_)); - sky130_fd_sc_hd__nor3_1 _3372_ (.A(_0281_), - .B(_0334_), - .C(_0342_), + .X(_0751_)); + sky130_fd_sc_hd__nand2_1 _2617_ (.A(\mandelbrot.alu.m3[0] ), + .B(\mandelbrot.alu.mult_zr_zi.shift_reg[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0343_)); - sky130_fd_sc_hd__nor2_1 _3373_ (.A(_0281_), - .B(_0343_), + .Y(_0752_)); + sky130_fd_sc_hd__or2_1 _2618_ (.A(\mandelbrot.alu.m3[0] ), + .B(\mandelbrot.alu.mult_zr_zi.shift_reg[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0344_)); - sky130_fd_sc_hd__a31o_1 _3374_ (.A1(net129), - .A2(net119), - .A3(_0336_), - .B1(_0335_), + .X(_0753_)); + sky130_fd_sc_hd__mux2_1 _2619_ (.A0(_0753_), + .A1(_0752_), + .S(\mandelbrot.alu.m3[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0345_)); - sky130_fd_sc_hd__xor2_1 _3375_ (.A(_0286_), - .B(_0345_), + .X(_0754_)); + sky130_fd_sc_hd__mux2_1 _2620_ (.A0(_0752_), + .A1(_0753_), + .S(net124), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0346_)); - sky130_fd_sc_hd__and2b_1 _3376_ (.A_N(_0344_), - .B(_0346_), + .X(_0755_)); + sky130_fd_sc_hd__a31oi_1 _2621_ (.A1(net110), + .A2(_0752_), + .A3(_0753_), + .B1(\mandelbrot.alu.m3[16] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0347_)); - sky130_fd_sc_hd__xnor2_1 _3377_ (.A(_0344_), - .B(_0346_), + .Y(_0756_)); + sky130_fd_sc_hd__and3_1 _2622_ (.A(\mandelbrot.alu.m3[16] ), + .B(net110), + .C(net71), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0348_)); - sky130_fd_sc_hd__a21o_1 _3378_ (.A1(_0288_), - .A2(_0340_), - .B1(_0339_), + .X(_0757_)); + sky130_fd_sc_hd__a211oi_1 _2623_ (.A1(_0754_), + .A2(_0757_), + .B1(_0756_), + .C1(net93), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0349_)); - sky130_fd_sc_hd__nand3_1 _3379_ (.A(_0341_), - .B(_0348_), - .C(_0349_), + .Y(_0758_)); + sky130_fd_sc_hd__a221o_1 _2624_ (.A1(\mandelbrot.alu.m3[14] ), + .A2(net16), + .B1(_0751_), + .B2(_1635_), + .C1(_0758_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0350_)); - sky130_fd_sc_hd__nand2_1 _3380_ (.A(_0341_), - .B(_0350_), + .X(_0196_)); + sky130_fd_sc_hd__a32o_1 _2625_ (.A1(_1561_), + .A2(_0739_), + .A3(_0740_), + .B1(\mandelbrot.alu.m1[28] ), + .B2(_1402_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0351_)); - sky130_fd_sc_hd__and2b_1 _3381_ (.A_N(_0321_), - .B(_0351_), + .X(_0759_)); + sky130_fd_sc_hd__xor2_1 _2626_ (.A(_1573_), + .B(_0759_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0352_)); - sky130_fd_sc_hd__nand2b_1 _3382_ (.A_N(net148), - .B(net142), + .X(_0760_)); + sky130_fd_sc_hd__nand2_1 _2627_ (.A(\mandelbrot.alu.in_cr[15] ), + .B(_0760_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0353_)); - sky130_fd_sc_hd__nor2_1 _3383_ (.A(_2414_), - .B(_0353_), + .Y(_0761_)); + sky130_fd_sc_hd__inv_2 _2628_ (.A(_0761_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0354_)); - sky130_fd_sc_hd__a21o_1 _3384_ (.A1(_0286_), - .A2(_0345_), - .B1(_0347_), + .Y(_0762_)); + sky130_fd_sc_hd__nor2_1 _2629_ (.A(\mandelbrot.alu.in_cr[15] ), + .B(_0760_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0355_)); - sky130_fd_sc_hd__o2bb2a_1 _3385_ (.A1_N(net136), - .A2_N(net106), - .B1(net43), - .B2(net142), + .Y(_0763_)); + sky130_fd_sc_hd__or2_1 _2630_ (.A(\mandelbrot.alu.in_cr[15] ), + .B(_0760_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0356_)); - sky130_fd_sc_hd__nor2_1 _3386_ (.A(_0298_), - .B(_0356_), + .X(_0764_)); + sky130_fd_sc_hd__nand2_1 _2631_ (.A(_0761_), + .B(_0764_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0357_)); - sky130_fd_sc_hd__nand2_1 _3387_ (.A(_0355_), - .B(_0357_), + .Y(_0765_)); + sky130_fd_sc_hd__a31o_1 _2632_ (.A1(_0743_), + .A2(_0747_), + .A3(_0748_), + .B1(_0744_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0358_)); - sky130_fd_sc_hd__xor2_1 _3388_ (.A(_0355_), - .B(_0357_), + .X(_0766_)); + sky130_fd_sc_hd__xor2_1 _2633_ (.A(_0765_), + .B(_0766_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0359_)); - sky130_fd_sc_hd__xnor2_1 _3389_ (.A(_0354_), - .B(_0359_), + .X(_0767_)); + sky130_fd_sc_hd__and2_1 _2634_ (.A(net99), + .B(_0767_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0360_)); - sky130_fd_sc_hd__xor2_1 _3390_ (.A(_0321_), - .B(_0351_), + .X(_0768_)); + sky130_fd_sc_hd__mux2_1 _2635_ (.A0(\mandelbrot.alu.in_zi[1] ), + .A1(_0356_), + .S(net124), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0361_)); - sky130_fd_sc_hd__o21ba_1 _3391_ (.A1(_0360_), - .A2(_0361_), - .B1_N(_0352_), + .X(_0769_)); + sky130_fd_sc_hd__mux2_1 _2636_ (.A0(net110), + .A1(_0769_), + .S(net71), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0362_)); - sky130_fd_sc_hd__nand2b_1 _3392_ (.A_N(_0362_), - .B(_0319_), + .X(_0770_)); + sky130_fd_sc_hd__and2_1 _2637_ (.A(\mandelbrot.alu.m3[17] ), + .B(_0770_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0363_)); - sky130_fd_sc_hd__a21bo_1 _3393_ (.A1(_0354_), - .A2(_0359_), - .B1_N(_0358_), + .X(_0771_)); + sky130_fd_sc_hd__xor2_1 _2638_ (.A(\mandelbrot.alu.m3[17] ), + .B(_0770_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0364_)); - sky130_fd_sc_hd__xnor2_1 _3394_ (.A(_0319_), - .B(_0362_), + .X(_0772_)); + sky130_fd_sc_hd__xnor2_1 _2639_ (.A(_0757_), + .B(_0772_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0365_)); - sky130_fd_sc_hd__a21boi_1 _3395_ (.A1(_0364_), - .A2(_0365_), - .B1_N(_0363_), + .Y(_0773_)); + sky130_fd_sc_hd__nand2_1 _2640_ (.A(net74), + .B(_0773_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0366_)); - sky130_fd_sc_hd__nor2_1 _3396_ (.A(_0318_), - .B(_0366_), + .Y(_0774_)); + sky130_fd_sc_hd__o211a_1 _2641_ (.A1(\mandelbrot.alu.m3[17] ), + .A2(net74), + .B1(_0774_), + .C1(net115), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0367_)); - sky130_fd_sc_hd__xnor2_1 _3397_ (.A(_0364_), - .B(_0365_), + .X(_0775_)); + sky130_fd_sc_hd__a221o_1 _2642_ (.A1(net311), + .A2(net15), + .B1(_0768_), + .B2(_1635_), + .C1(_0775_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0368_)); - sky130_fd_sc_hd__nand4_1 _3398_ (.A(net145), - .B(net140), - .C(net119), - .D(net113), + .X(_0197_)); + sky130_fd_sc_hd__and2b_1 _2643_ (.A_N(net124), + .B(\mandelbrot.alu.in_zi[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0369_)); - sky130_fd_sc_hd__and2_1 _3399_ (.A(net149), - .B(net109), + .X(_0776_)); + sky130_fd_sc_hd__a31o_1 _2644_ (.A1(net124), + .A2(_0364_), + .A3(_0365_), + .B1(_0776_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0370_)); - sky130_fd_sc_hd__a22o_1 _3400_ (.A1(net140), - .A2(net120), - .B1(net113), - .B2(net145), + .X(_0777_)); + sky130_fd_sc_hd__mux2_1 _2645_ (.A0(_0769_), + .A1(_0777_), + .S(net71), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0371_)); - sky130_fd_sc_hd__nand3_1 _3401_ (.A(_0369_), - .B(_0370_), - .C(_0371_), + .X(_0778_)); + sky130_fd_sc_hd__and2_1 _2646_ (.A(\mandelbrot.alu.m3[18] ), + .B(_0778_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0372_)); - sky130_fd_sc_hd__a21bo_1 _3402_ (.A1(_0370_), - .A2(_0371_), - .B1_N(_0369_), + .X(_0779_)); + sky130_fd_sc_hd__nor2_1 _2647_ (.A(\mandelbrot.alu.m3[18] ), + .B(_0778_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0373_)); - sky130_fd_sc_hd__a21o_1 _3403_ (.A1(_0323_), - .A2(_0325_), - .B1(_0324_), + .Y(_0780_)); + sky130_fd_sc_hd__nor2_1 _2648_ (.A(_0779_), + .B(_0780_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0374_)); - sky130_fd_sc_hd__nand3_1 _3404_ (.A(_0326_), - .B(_0373_), - .C(_0374_), + .Y(_0781_)); + sky130_fd_sc_hd__a21o_1 _2649_ (.A1(_0757_), + .A2(_0772_), + .B1(_0771_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0375_)); - sky130_fd_sc_hd__nand2_1 _3405_ (.A(net135), - .B(net119), + .X(_0782_)); + sky130_fd_sc_hd__xnor2_1 _2650_ (.A(_0781_), + .B(_0782_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0376_)); - sky130_fd_sc_hd__inv_2 _3406_ (.A(_0376_), + .Y(_0783_)); + sky130_fd_sc_hd__nand2_1 _2651_ (.A(net74), + .B(_0783_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0377_)); - sky130_fd_sc_hd__a21o_1 _3407_ (.A1(_0326_), - .A2(_0374_), - .B1(_0373_), + .Y(_0784_)); + sky130_fd_sc_hd__or2_1 _2652_ (.A(\mandelbrot.alu.m3[18] ), + .B(net74), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0378_)); - sky130_fd_sc_hd__nand3_1 _3408_ (.A(_0375_), - .B(_0377_), - .C(_0378_), + .X(_0785_)); + sky130_fd_sc_hd__a32o_1 _2653_ (.A1(net115), + .A2(_0784_), + .A3(_0785_), + .B1(net291), + .B2(net16), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0379_)); - sky130_fd_sc_hd__a21boi_1 _3409_ (.A1(_0377_), - .A2(_0378_), - .B1_N(_0375_), + .X(_0198_)); + sky130_fd_sc_hd__mux2_1 _2654_ (.A0(\mandelbrot.alu.in_zi[3] ), + .A1(_0377_), + .S(net124), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0380_)); - sky130_fd_sc_hd__xnor2_1 _3410_ (.A(_0332_), - .B(_0338_), + .X(_0786_)); + sky130_fd_sc_hd__mux2_1 _2655_ (.A0(_0777_), + .A1(_0786_), + .S(net71), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0381_)); - sky130_fd_sc_hd__and2b_1 _3411_ (.A_N(_0380_), - .B(_0381_), + .X(_0787_)); + sky130_fd_sc_hd__and2_1 _2656_ (.A(\mandelbrot.alu.m3[19] ), + .B(_0787_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0382_)); - sky130_fd_sc_hd__xor2_1 _3412_ (.A(_0380_), - .B(_0381_), + .X(_0788_)); + sky130_fd_sc_hd__or2_1 _2657_ (.A(\mandelbrot.alu.m3[19] ), + .B(_0787_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0383_)); - sky130_fd_sc_hd__nor2_1 _3413_ (.A(net38), - .B(_0333_), + .X(_0789_)); + sky130_fd_sc_hd__and2b_1 _2658_ (.A_N(_0788_), + .B(_0789_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0384_)); - sky130_fd_sc_hd__a22o_1 _3414_ (.A1(net133), - .A2(net119), - .B1(\mandelbrot.alu.in_zi[7] ), - .B2(net138), + .X(_0790_)); + sky130_fd_sc_hd__a21o_1 _2659_ (.A1(_0781_), + .A2(_0782_), + .B1(_0779_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0385_)); - sky130_fd_sc_hd__o21a_1 _3415_ (.A1(net37), - .A2(_0333_), - .B1(_0385_), + .X(_0791_)); + sky130_fd_sc_hd__xnor2_1 _2660_ (.A(_0790_), + .B(_0791_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0386_)); - sky130_fd_sc_hd__a31o_1 _3416_ (.A1(net146), - .A2(net110), - .A3(_0385_), - .B1(_0384_), + .Y(_0792_)); + sky130_fd_sc_hd__nand2_1 _2661_ (.A(net74), + .B(_0792_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0387_)); - sky130_fd_sc_hd__o21ai_1 _3417_ (.A1(_0281_), - .A2(_0342_), - .B1(_0334_), + .Y(_0793_)); + sky130_fd_sc_hd__or2_1 _2662_ (.A(\mandelbrot.alu.m3[19] ), + .B(net74), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0388_)); - sky130_fd_sc_hd__and2b_1 _3418_ (.A_N(_0343_), - .B(_0388_), + .X(_0794_)); + sky130_fd_sc_hd__a32o_1 _2663_ (.A1(net115), + .A2(_0793_), + .A3(_0794_), + .B1(net298), + .B2(net16), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0389_)); - sky130_fd_sc_hd__or3b_1 _3419_ (.A(_0280_), - .B(_0343_), - .C_N(_0388_), + .X(_0199_)); + sky130_fd_sc_hd__mux2_1 _2664_ (.A0(\mandelbrot.alu.in_zi[4] ), + .A1(_0386_), + .S(\mandelbrot.alu.m3[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0390_)); - sky130_fd_sc_hd__xnor2_1 _3420_ (.A(_0280_), - .B(_0389_), + .X(_0795_)); + sky130_fd_sc_hd__mux2_1 _2665_ (.A0(_0786_), + .A1(_0795_), + .S(net71), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0391_)); - sky130_fd_sc_hd__xor2_1 _3421_ (.A(_0387_), - .B(_0391_), + .X(_0796_)); + sky130_fd_sc_hd__and2_1 _2666_ (.A(\mandelbrot.alu.m3[20] ), + .B(_0796_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0392_)); - sky130_fd_sc_hd__and2b_1 _3422_ (.A_N(_0383_), - .B(_0392_), + .X(_0797_)); + sky130_fd_sc_hd__nor2_1 _2667_ (.A(\mandelbrot.alu.m3[20] ), + .B(_0796_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0393_)); - sky130_fd_sc_hd__a21o_1 _3423_ (.A1(_0341_), - .A2(_0349_), - .B1(_0348_), + .Y(_0798_)); + sky130_fd_sc_hd__nor2_1 _2668_ (.A(_0797_), + .B(_0798_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0394_)); - sky130_fd_sc_hd__o211a_1 _3424_ (.A1(_0382_), - .A2(_0393_), - .B1(_0394_), - .C1(_0350_), + .Y(_0799_)); + sky130_fd_sc_hd__a21o_1 _2669_ (.A1(_0789_), + .A2(_0791_), + .B1(_0788_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0395_)); - sky130_fd_sc_hd__a22o_1 _3425_ (.A1(net142), - .A2(net110), - .B1(net106), - .B2(net146), + .X(_0800_)); + sky130_fd_sc_hd__xnor2_1 _2670_ (.A(_0799_), + .B(_0800_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0396_)); - sky130_fd_sc_hd__o21a_1 _3426_ (.A1(_2395_), - .A2(_2428_), - .B1(_0396_), + .Y(_0801_)); + sky130_fd_sc_hd__nand2_1 _2671_ (.A(net74), + .B(_0801_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0397_)); - sky130_fd_sc_hd__and2_1 _3427_ (.A(_0328_), - .B(_0397_), + .Y(_0802_)); + sky130_fd_sc_hd__or2_1 _2672_ (.A(\mandelbrot.alu.m3[20] ), + .B(net74), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0398_)); - sky130_fd_sc_hd__o21ba_1 _3428_ (.A1(_2395_), - .A2(_2428_), - .B1_N(_0398_), + .X(_0803_)); + sky130_fd_sc_hd__a32o_1 _2673_ (.A1(net113), + .A2(_0802_), + .A3(_0803_), + .B1(net304), + .B2(net17), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0399_)); - sky130_fd_sc_hd__a21bo_1 _3429_ (.A1(_0387_), - .A2(_0391_), - .B1_N(_0390_), + .X(_0200_)); + sky130_fd_sc_hd__a21o_1 _2674_ (.A1(_0799_), + .A2(_0800_), + .B1(_0797_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0400_)); - sky130_fd_sc_hd__o2bb2a_1 _3430_ (.A1_N(net142), - .A2_N(net106), - .B1(net43), - .B2(net148), + .X(_0804_)); + sky130_fd_sc_hd__mux2_1 _2675_ (.A0(\mandelbrot.alu.in_zi[5] ), + .A1(_0398_), + .S(net124), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0401_)); - sky130_fd_sc_hd__nor2_1 _3431_ (.A(_0354_), - .B(_0401_), + .X(_0805_)); + sky130_fd_sc_hd__mux2_1 _2676_ (.A0(_0795_), + .A1(_0805_), + .S(net71), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0402_)); - sky130_fd_sc_hd__xor2_1 _3432_ (.A(_0400_), - .B(_0402_), + .X(_0806_)); + sky130_fd_sc_hd__or2_1 _2677_ (.A(\mandelbrot.alu.m3[21] ), + .B(_0806_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0403_)); - sky130_fd_sc_hd__nand2b_1 _3433_ (.A_N(_0399_), - .B(_0403_), + .X(_0807_)); + sky130_fd_sc_hd__nand2_1 _2678_ (.A(\mandelbrot.alu.m3[21] ), + .B(_0806_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0404_)); - sky130_fd_sc_hd__xnor2_1 _3434_ (.A(_0399_), - .B(_0403_), + .Y(_0808_)); + sky130_fd_sc_hd__nand2_1 _2679_ (.A(_0807_), + .B(_0808_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0405_)); - sky130_fd_sc_hd__a211oi_1 _3435_ (.A1(_0350_), - .A2(_0394_), - .B1(_0393_), - .C1(_0382_), + .Y(_0809_)); + sky130_fd_sc_hd__xnor2_1 _2680_ (.A(_0804_), + .B(_0809_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0406_)); - sky130_fd_sc_hd__nor3b_1 _3436_ (.A(_0395_), - .B(_0406_), - .C_N(_0405_), + .Y(_0810_)); + sky130_fd_sc_hd__nand2_1 _2681_ (.A(net112), + .B(net73), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0407_)); - sky130_fd_sc_hd__or2_1 _3437_ (.A(_0395_), - .B(_0407_), + .Y(_0811_)); + sky130_fd_sc_hd__mux2_1 _2682_ (.A0(\mandelbrot.alu.m3[21] ), + .A1(_0810_), + .S(net74), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0408_)); - sky130_fd_sc_hd__xor2_1 _3438_ (.A(_0360_), - .B(_0361_), + .X(_0812_)); + sky130_fd_sc_hd__a22o_1 _2683_ (.A1(\mandelbrot.alu.m3[19] ), + .A2(net17), + .B1(_0812_), + .B2(net113), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0409_)); - sky130_fd_sc_hd__nand2_1 _3439_ (.A(_0408_), - .B(_0409_), + .X(_0201_)); + sky130_fd_sc_hd__mux2_1 _2684_ (.A0(\mandelbrot.alu.in_zi[6] ), + .A1(_0409_), + .S(net124), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0410_)); - sky130_fd_sc_hd__a21bo_1 _3440_ (.A1(_0400_), - .A2(_0402_), - .B1_N(_0404_), + .X(_0813_)); + sky130_fd_sc_hd__mux2_1 _2685_ (.A0(_0805_), + .A1(_0813_), + .S(net71), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0411_)); - sky130_fd_sc_hd__xnor2_1 _3441_ (.A(_0408_), - .B(_0409_), + .X(_0814_)); + sky130_fd_sc_hd__and2_1 _2686_ (.A(\mandelbrot.alu.m3[22] ), + .B(_0814_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0412_)); - sky130_fd_sc_hd__nand2b_1 _3442_ (.A_N(_0412_), - .B(_0411_), + .X(_0815_)); + sky130_fd_sc_hd__nor2_1 _2687_ (.A(\mandelbrot.alu.m3[22] ), + .B(_0814_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0413_)); - sky130_fd_sc_hd__nand3_2 _3443_ (.A(_0368_), - .B(_0410_), - .C(_0413_), + .Y(_0816_)); + sky130_fd_sc_hd__nor2_1 _2688_ (.A(_0815_), + .B(_0816_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0414_)); - sky130_fd_sc_hd__inv_2 _3444_ (.A(_0414_), + .Y(_0817_)); + sky130_fd_sc_hd__a221o_1 _2689_ (.A1(_0799_), + .A2(_0800_), + .B1(_0806_), + .B2(\mandelbrot.alu.m3[21] ), + .C1(_0797_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0415_)); - sky130_fd_sc_hd__a21oi_1 _3445_ (.A1(_0410_), - .A2(_0413_), - .B1(_0368_), + .X(_0818_)); + sky130_fd_sc_hd__nand2_1 _2690_ (.A(_0807_), + .B(_0818_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0416_)); - sky130_fd_sc_hd__xor2_1 _3446_ (.A(_0411_), - .B(_0412_), + .Y(_0819_)); + sky130_fd_sc_hd__xnor2_1 _2691_ (.A(_0817_), + .B(_0819_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0417_)); - sky130_fd_sc_hd__a21o_1 _3447_ (.A1(_0369_), - .A2(_0371_), - .B1(_0370_), + .Y(_0820_)); + sky130_fd_sc_hd__mux2_1 _2692_ (.A0(\mandelbrot.alu.m3[22] ), + .A1(_0820_), + .S(net74), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0418_)); - sky130_fd_sc_hd__and4_1 _3448_ (.A(net153), - .B(net144), - .C(net120), - .D(net113), + .X(_0821_)); + sky130_fd_sc_hd__a22o_1 _2693_ (.A1(\mandelbrot.alu.m3[20] ), + .A2(net17), + .B1(_0821_), + .B2(net113), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0419_)); - sky130_fd_sc_hd__nand4_2 _3449_ (.A(net153), - .B(net144), - .C(net119), - .D(net113), + .X(_0202_)); + sky130_fd_sc_hd__mux2_1 _2694_ (.A0(\mandelbrot.alu.in_zi[7] ), + .A1(_0420_), + .S(net123), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0420_)); - sky130_fd_sc_hd__a22o_1 _3450_ (.A1(net144), - .A2(net120), - .B1(net113), - .B2(net149), + .X(_0822_)); + sky130_fd_sc_hd__mux2_1 _2695_ (.A0(_0813_), + .A1(_0822_), + .S(net70), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0421_)); - sky130_fd_sc_hd__and2_1 _3451_ (.A(net140), - .B(net123), + .X(_0823_)); + sky130_fd_sc_hd__nand2_1 _2696_ (.A(\mandelbrot.alu.m3[23] ), + .B(_0823_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0422_)); - sky130_fd_sc_hd__nand2_2 _3452_ (.A(net138), - .B(net122), + .Y(_0824_)); + sky130_fd_sc_hd__or2_1 _2697_ (.A(\mandelbrot.alu.m3[23] ), + .B(_0823_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0423_)); - sky130_fd_sc_hd__o21a_1 _3453_ (.A1(_0419_), - .A2(_0422_), - .B1(_0421_), + .X(_0825_)); + sky130_fd_sc_hd__and2_1 _2698_ (.A(_0824_), + .B(_0825_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0424_)); - sky130_fd_sc_hd__and3_1 _3454_ (.A(_0372_), - .B(_0418_), - .C(_0424_), + .X(_0826_)); + sky130_fd_sc_hd__a31o_1 _2699_ (.A1(_0807_), + .A2(_0817_), + .A3(_0818_), + .B1(_0815_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0425_)); - sky130_fd_sc_hd__a21oi_1 _3455_ (.A1(_0372_), - .A2(_0418_), - .B1(_0424_), + .X(_0827_)); + sky130_fd_sc_hd__xnor2_1 _2700_ (.A(_0826_), + .B(_0827_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0426_)); - sky130_fd_sc_hd__or3_1 _3456_ (.A(_1823_), - .B(_0425_), - .C(_0426_), + .Y(_0828_)); + sky130_fd_sc_hd__nand2_1 _2701_ (.A(net73), + .B(_0828_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0427_)); - sky130_fd_sc_hd__o21bai_1 _3457_ (.A1(_1823_), - .A2(_0426_), - .B1_N(_0425_), + .Y(_0829_)); + sky130_fd_sc_hd__or2_1 _2702_ (.A(\mandelbrot.alu.m3[23] ), + .B(net73), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0428_)); - sky130_fd_sc_hd__a21o_1 _3458_ (.A1(_0375_), - .A2(_0378_), - .B1(_0377_), + .X(_0830_)); + sky130_fd_sc_hd__a32o_1 _2703_ (.A1(net113), + .A2(_0829_), + .A3(_0830_), + .B1(net296), + .B2(net17), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0429_)); - sky130_fd_sc_hd__nand3_1 _3459_ (.A(_0379_), - .B(_0428_), - .C(_0429_), + .X(_0203_)); + sky130_fd_sc_hd__mux2_1 _2704_ (.A0(\mandelbrot.alu.in_zi[8] ), + .A1(_0432_), + .S(net123), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0430_)); - sky130_fd_sc_hd__a21o_1 _3460_ (.A1(_0379_), - .A2(_0429_), - .B1(_0428_), + .X(_0831_)); + sky130_fd_sc_hd__mux2_1 _2705_ (.A0(_0822_), + .A1(_0831_), + .S(net70), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0431_)); - sky130_fd_sc_hd__nand2_1 _3461_ (.A(net137), - .B(net124), + .X(_0832_)); + sky130_fd_sc_hd__and2_1 _2706_ (.A(\mandelbrot.alu.m3[24] ), + .B(_0832_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0432_)); - sky130_fd_sc_hd__xnor2_1 _3462_ (.A(_0322_), - .B(_0386_), + .X(_0833_)); + sky130_fd_sc_hd__nor2_1 _2707_ (.A(\mandelbrot.alu.m3[24] ), + .B(_0832_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0433_)); - sky130_fd_sc_hd__nand2b_1 _3463_ (.A_N(_0432_), - .B(_0433_), + .Y(_0834_)); + sky130_fd_sc_hd__nor2_1 _2708_ (.A(_0833_), + .B(_0834_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0434_)); - sky130_fd_sc_hd__xnor2_1 _3464_ (.A(_0432_), - .B(_0433_), + .Y(_0835_)); + sky130_fd_sc_hd__a21bo_1 _2709_ (.A1(_0825_), + .A2(_0827_), + .B1_N(_0824_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0435_)); - sky130_fd_sc_hd__nand2_1 _3465_ (.A(_0373_), - .B(_0435_), + .X(_0836_)); + sky130_fd_sc_hd__xor2_1 _2710_ (.A(_0835_), + .B(_0836_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0436_)); - sky130_fd_sc_hd__xor2_1 _3466_ (.A(_0373_), - .B(_0435_), + .X(_0837_)); + sky130_fd_sc_hd__mux2_1 _2711_ (.A0(\mandelbrot.alu.m3[24] ), + .A1(_0837_), + .S(net73), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0437_)); - sky130_fd_sc_hd__nand3_1 _3467_ (.A(_0430_), - .B(_0431_), - .C(_0437_), + .X(_0838_)); + sky130_fd_sc_hd__a22o_1 _2712_ (.A1(\mandelbrot.alu.m3[22] ), + .A2(net17), + .B1(_0838_), + .B2(net112), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0438_)); - sky130_fd_sc_hd__and2_1 _3468_ (.A(_0430_), - .B(_0438_), + .X(_0204_)); + sky130_fd_sc_hd__mux2_1 _2713_ (.A0(\mandelbrot.alu.in_zi[9] ), + .A1(_0444_), + .S(net123), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0439_)); - sky130_fd_sc_hd__xnor2_1 _3469_ (.A(_0383_), - .B(_0392_), + .X(_0839_)); + sky130_fd_sc_hd__mux2_1 _2714_ (.A0(_0831_), + .A1(_0839_), + .S(net71), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0440_)); - sky130_fd_sc_hd__nand2b_1 _3470_ (.A_N(_0439_), - .B(_0440_), + .X(_0840_)); + sky130_fd_sc_hd__nand2_1 _2715_ (.A(\mandelbrot.alu.m3[25] ), + .B(_0840_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0441_)); - sky130_fd_sc_hd__xnor2_1 _3471_ (.A(_0439_), - .B(_0440_), + .Y(_0841_)); + sky130_fd_sc_hd__or2_1 _2716_ (.A(\mandelbrot.alu.m3[25] ), + .B(_0840_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0442_)); - sky130_fd_sc_hd__nor2_1 _3472_ (.A(_0328_), - .B(_0397_), + .X(_0842_)); + sky130_fd_sc_hd__inv_2 _2717_ (.A(_0842_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0443_)); - sky130_fd_sc_hd__or2_1 _3473_ (.A(_0398_), - .B(_0443_), + .Y(_0843_)); + sky130_fd_sc_hd__a21oi_1 _2718_ (.A1(_0835_), + .A2(_0836_), + .B1(_0833_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0444_)); - sky130_fd_sc_hd__a21oi_1 _3474_ (.A1(_0434_), - .A2(_0436_), - .B1(_0444_), + .Y(_0844_)); + sky130_fd_sc_hd__a21oi_1 _2719_ (.A1(_0841_), + .A2(_0842_), + .B1(_0844_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0445_)); - sky130_fd_sc_hd__inv_2 _3475_ (.A(_0445_), + .Y(_0845_)); + sky130_fd_sc_hd__nand3_1 _2720_ (.A(_0841_), + .B(_0842_), + .C(_0844_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0446_)); - sky130_fd_sc_hd__and3_1 _3476_ (.A(_0434_), - .B(_0436_), - .C(_0444_), + .Y(_0846_)); + sky130_fd_sc_hd__nand2_1 _2721_ (.A(net72), + .B(_0846_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0447_)); - sky130_fd_sc_hd__nor2_1 _3477_ (.A(_0445_), - .B(_0447_), + .Y(_0847_)); + sky130_fd_sc_hd__o221a_1 _2722_ (.A1(\mandelbrot.alu.m3[25] ), + .A2(net72), + .B1(_0845_), + .B2(_0847_), + .C1(net112), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0448_)); - sky130_fd_sc_hd__a21bo_1 _3478_ (.A1(_0442_), - .A2(_0448_), - .B1_N(_0441_), + .X(_0848_)); + sky130_fd_sc_hd__a31o_1 _2723_ (.A1(net95), + .A2(\mandelbrot.alu.m3[23] ), + .A3(net40), + .B1(_0848_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0449_)); - sky130_fd_sc_hd__o21ba_1 _3479_ (.A1(_0395_), - .A2(_0406_), - .B1_N(_0405_), + .X(_0205_)); + sky130_fd_sc_hd__mux2_1 _2724_ (.A0(\mandelbrot.alu.in_zi[10] ), + .A1(_0454_), + .S(net123), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0450_)); - sky130_fd_sc_hd__nor2_1 _3480_ (.A(_0407_), - .B(_0450_), + .X(_0849_)); + sky130_fd_sc_hd__mux2_1 _2725_ (.A0(_0839_), + .A1(_0849_), + .S(net70), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0451_)); - sky130_fd_sc_hd__nand2_1 _3481_ (.A(_0449_), - .B(_0451_), + .X(_0850_)); + sky130_fd_sc_hd__and2_1 _2726_ (.A(\mandelbrot.alu.m3[26] ), + .B(_0850_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0452_)); - sky130_fd_sc_hd__xnor2_1 _3482_ (.A(_0449_), - .B(_0451_), + .X(_0851_)); + sky130_fd_sc_hd__nor2_1 _2727_ (.A(\mandelbrot.alu.m3[26] ), + .B(_0850_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0453_)); - sky130_fd_sc_hd__o21a_1 _3483_ (.A1(_0446_), - .A2(_0453_), - .B1(_0452_), + .Y(_0852_)); + sky130_fd_sc_hd__nor2_1 _2728_ (.A(_0851_), + .B(_0852_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0454_)); - sky130_fd_sc_hd__nor2_1 _3484_ (.A(_0417_), - .B(_0454_), + .Y(_0853_)); + sky130_fd_sc_hd__o21ai_2 _2729_ (.A1(_0843_), + .A2(_0844_), + .B1(_0841_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0455_)); - sky130_fd_sc_hd__and2_1 _3485_ (.A(_0417_), - .B(_0454_), + .Y(_0854_)); + sky130_fd_sc_hd__xnor2_1 _2730_ (.A(_0853_), + .B(_0854_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0456_)); - sky130_fd_sc_hd__nor2_2 _3486_ (.A(_0455_), - .B(_0456_), + .Y(_0855_)); + sky130_fd_sc_hd__nand2_1 _2731_ (.A(net72), + .B(_0855_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0457_)); - sky130_fd_sc_hd__xnor2_1 _3487_ (.A(_0446_), - .B(_0453_), + .Y(_0856_)); + sky130_fd_sc_hd__or2_1 _2732_ (.A(\mandelbrot.alu.m3[26] ), + .B(net72), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0458_)); - sky130_fd_sc_hd__o21bai_1 _3488_ (.A1(_0425_), - .A2(_0426_), - .B1_N(net129), + .X(_0857_)); + sky130_fd_sc_hd__a32o_1 _2733_ (.A1(net112), + .A2(_0856_), + .A3(_0857_), + .B1(\mandelbrot.alu.m3[24] ), + .B2(net17), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0459_)); - sky130_fd_sc_hd__nand2_1 _3489_ (.A(_0420_), - .B(_0421_), + .X(_0206_)); + sky130_fd_sc_hd__mux2_1 _2734_ (.A0(\mandelbrot.alu.in_zi[11] ), + .A1(_0466_), + .S(net123), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0460_)); - sky130_fd_sc_hd__nand2_1 _3490_ (.A(net144), - .B(net123), + .X(_0858_)); + sky130_fd_sc_hd__mux2_1 _2735_ (.A0(_0849_), + .A1(_0858_), + .S(net70), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0461_)); - sky130_fd_sc_hd__and4_1 _3491_ (.A(net149), - .B(net144), - .C(net123), - .D(net119), + .X(_0859_)); + sky130_fd_sc_hd__or2_1 _2736_ (.A(\mandelbrot.alu.m3[27] ), + .B(_0859_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0462_)); - sky130_fd_sc_hd__nand2_1 _3492_ (.A(net141), - .B(net130), + .X(_0860_)); + sky130_fd_sc_hd__and2_1 _2737_ (.A(\mandelbrot.alu.m3[27] ), + .B(_0859_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0463_)); - sky130_fd_sc_hd__a22oi_2 _3493_ (.A1(net144), - .A2(net123), - .B1(net119), - .B2(net149), + .X(_0861_)); + sky130_fd_sc_hd__nand2_1 _2738_ (.A(\mandelbrot.alu.m3[27] ), + .B(_0859_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0464_)); - sky130_fd_sc_hd__or3_1 _3494_ (.A(_0462_), - .B(_0463_), - .C(_0464_), + .Y(_0862_)); + sky130_fd_sc_hd__nand2_1 _2739_ (.A(_0860_), + .B(_0862_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0465_)); - sky130_fd_sc_hd__o21bai_1 _3495_ (.A1(_0463_), - .A2(_0464_), - .B1_N(_0462_), + .Y(_0863_)); + sky130_fd_sc_hd__a21oi_1 _2740_ (.A1(_0853_), + .A2(_0854_), + .B1(_0851_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0466_)); - sky130_fd_sc_hd__a21o_1 _3496_ (.A1(_0420_), - .A2(_0421_), - .B1(_0423_), + .Y(_0864_)); + sky130_fd_sc_hd__xnor2_1 _2741_ (.A(_0863_), + .B(_0864_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0467_)); - sky130_fd_sc_hd__mux2_1 _3497_ (.A0(_0466_), - .A1(_0422_), - .S(_0460_), + .Y(_0865_)); + sky130_fd_sc_hd__nand2_1 _2742_ (.A(net72), + .B(_0865_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0468_)); - sky130_fd_sc_hd__nand3_1 _3498_ (.A(_0427_), - .B(_0459_), - .C(_0468_), + .Y(_0866_)); + sky130_fd_sc_hd__o211a_1 _2743_ (.A1(\mandelbrot.alu.m3[27] ), + .A2(net72), + .B1(_0866_), + .C1(net112), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0469_)); - sky130_fd_sc_hd__a21o_1 _3499_ (.A1(_0427_), - .A2(_0459_), - .B1(_0468_), + .X(_0867_)); + sky130_fd_sc_hd__a31o_1 _2744_ (.A1(net95), + .A2(\mandelbrot.alu.m3[25] ), + .A3(net40), + .B1(_0867_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0470_)); - sky130_fd_sc_hd__and3_1 _3500_ (.A(_2398_), - .B(_0372_), - .C(_0418_), + .X(_0207_)); + sky130_fd_sc_hd__mux2_1 _2745_ (.A0(\mandelbrot.alu.in_zi[12] ), + .A1(_0479_), + .S(net123), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0471_)); - sky130_fd_sc_hd__a21oi_1 _3501_ (.A1(_0372_), - .A2(_0418_), - .B1(_2398_), + .X(_0868_)); + sky130_fd_sc_hd__mux2_1 _2746_ (.A0(_0858_), + .A1(_0868_), + .S(net70), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0472_)); - sky130_fd_sc_hd__nor2_1 _3502_ (.A(_0471_), - .B(_0472_), + .X(_0869_)); + sky130_fd_sc_hd__nand2_1 _2747_ (.A(\mandelbrot.alu.m3[28] ), + .B(_0869_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0473_)); - sky130_fd_sc_hd__xnor2_1 _3503_ (.A(_0420_), - .B(_0473_), + .Y(_0870_)); + sky130_fd_sc_hd__or2_1 _2748_ (.A(\mandelbrot.alu.m3[28] ), + .B(_0869_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0474_)); - sky130_fd_sc_hd__nand3_1 _3504_ (.A(_0469_), - .B(_0470_), - .C(_0474_), + .X(_0871_)); + sky130_fd_sc_hd__nand2_1 _2749_ (.A(_0870_), + .B(_0871_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0475_)); - sky130_fd_sc_hd__a21bo_1 _3505_ (.A1(_0470_), - .A2(_0474_), - .B1_N(_0469_), + .Y(_0872_)); + sky130_fd_sc_hd__and2_1 _2750_ (.A(_0851_), + .B(_0860_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0476_)); - sky130_fd_sc_hd__a21o_1 _3506_ (.A1(_0430_), - .A2(_0431_), - .B1(_0437_), + .X(_0873_)); + sky130_fd_sc_hd__a311oi_2 _2751_ (.A1(_0853_), + .A2(_0854_), + .A3(_0860_), + .B1(_0861_), + .C1(_0873_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0477_)); - sky130_fd_sc_hd__and3_1 _3507_ (.A(_0438_), - .B(_0476_), - .C(_0477_), + .Y(_0874_)); + sky130_fd_sc_hd__xor2_1 _2752_ (.A(_0872_), + .B(_0874_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0478_)); - sky130_fd_sc_hd__a21oi_1 _3508_ (.A1(_0438_), - .A2(_0477_), - .B1(_0476_), + .X(_0875_)); + sky130_fd_sc_hd__mux2_1 _2753_ (.A0(\mandelbrot.alu.m3[28] ), + .A1(_0875_), + .S(net72), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0479_)); - sky130_fd_sc_hd__a21o_1 _3509_ (.A1(_0419_), - .A2(_0473_), - .B1(_0471_), + .X(_0876_)); + sky130_fd_sc_hd__a22o_1 _2754_ (.A1(\mandelbrot.alu.m3[26] ), + .A2(net17), + .B1(_0876_), + .B2(net112), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0480_)); - sky130_fd_sc_hd__and3_1 _3510_ (.A(net151), - .B(net106), - .C(_0480_), + .X(_0208_)); + sky130_fd_sc_hd__mux2_1 _2755_ (.A0(\mandelbrot.alu.in_zi[13] ), + .A1(_0490_), + .S(net123), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0481_)); - sky130_fd_sc_hd__a21o_1 _3511_ (.A1(net151), - .A2(net106), - .B1(_0480_), + .X(_0877_)); + sky130_fd_sc_hd__mux2_1 _2756_ (.A0(_0868_), + .A1(_0877_), + .S(net70), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0482_)); - sky130_fd_sc_hd__nand2b_1 _3512_ (.A_N(_0481_), - .B(_0482_), + .X(_0878_)); + sky130_fd_sc_hd__or2_1 _2757_ (.A(\mandelbrot.alu.m3[29] ), + .B(_0878_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0483_)); - sky130_fd_sc_hd__or3_1 _3513_ (.A(_0478_), - .B(_0479_), - .C(_0483_), + .X(_0879_)); + sky130_fd_sc_hd__inv_2 _2758_ (.A(_0879_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0484_)); - sky130_fd_sc_hd__nand2b_1 _3514_ (.A_N(_0478_), - .B(_0484_), + .Y(_0880_)); + sky130_fd_sc_hd__and2_1 _2759_ (.A(\mandelbrot.alu.m3[29] ), + .B(_0878_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0485_)); - sky130_fd_sc_hd__xnor2_1 _3515_ (.A(_0442_), - .B(_0448_), + .X(_0881_)); + sky130_fd_sc_hd__o21ai_1 _2760_ (.A1(_0872_), + .A2(_0874_), + .B1(_0870_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0486_)); - sky130_fd_sc_hd__nand2b_1 _3516_ (.A_N(_0486_), - .B(_0485_), + .Y(_0882_)); + sky130_fd_sc_hd__o21a_1 _2761_ (.A1(_0880_), + .A2(_0881_), + .B1(_0882_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0487_)); - sky130_fd_sc_hd__xnor2_1 _3517_ (.A(_0485_), - .B(_0486_), + .X(_0883_)); + sky130_fd_sc_hd__o31ai_1 _2762_ (.A1(_0880_), + .A2(_0881_), + .A3(_0882_), + .B1(net72), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0488_)); - sky130_fd_sc_hd__nand2_1 _3518_ (.A(_0481_), - .B(_0488_), + .Y(_0884_)); + sky130_fd_sc_hd__o221a_1 _2763_ (.A1(\mandelbrot.alu.m3[29] ), + .A2(net72), + .B1(_0883_), + .B2(_0884_), + .C1(net113), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0489_)); - sky130_fd_sc_hd__a21oi_1 _3519_ (.A1(_0487_), - .A2(_0489_), - .B1(_0458_), + .X(_0885_)); + sky130_fd_sc_hd__a31o_1 _2764_ (.A1(net95), + .A2(\mandelbrot.alu.m3[27] ), + .A3(net40), + .B1(_0885_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0490_)); - sky130_fd_sc_hd__nand3_1 _3520_ (.A(_0458_), - .B(_0487_), - .C(_0489_), + .X(_0209_)); + sky130_fd_sc_hd__mux2_1 _2765_ (.A0(\mandelbrot.alu.in_zi[14] ), + .A1(_0502_), + .S(net123), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0491_)); - sky130_fd_sc_hd__xnor2_1 _3521_ (.A(_0481_), - .B(_0488_), + .X(_0886_)); + sky130_fd_sc_hd__mux2_1 _2766_ (.A0(_0877_), + .A1(_0886_), + .S(net70), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0492_)); - sky130_fd_sc_hd__nand2_1 _3522_ (.A(net151), - .B(net124), + .X(_0887_)); + sky130_fd_sc_hd__xor2_1 _2767_ (.A(\mandelbrot.alu.m3[30] ), + .B(_0887_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0493_)); - sky130_fd_sc_hd__a22o_1 _3523_ (.A1(net142), - .A2(net136), - .B1(net131), - .B2(net148), + .X(_0888_)); + sky130_fd_sc_hd__or2_1 _2768_ (.A(_0881_), + .B(_0882_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0494_)); - sky130_fd_sc_hd__o21a_1 _3524_ (.A1(_2399_), - .A2(_2428_), - .B1(_0494_), + .X(_0889_)); + sky130_fd_sc_hd__nand2_1 _2769_ (.A(_0879_), + .B(_0889_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0495_)); - sky130_fd_sc_hd__a32o_1 _3525_ (.A1(net151), - .A2(net124), - .A3(_0494_), - .B1(_2427_), - .B2(_2398_), + .Y(_0890_)); + sky130_fd_sc_hd__xnor2_1 _2770_ (.A(_0888_), + .B(_0890_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0496_)); - sky130_fd_sc_hd__o21ai_1 _3526_ (.A1(_0462_), - .A2(_0464_), - .B1(_0463_), + .Y(_0891_)); + sky130_fd_sc_hd__mux2_1 _2771_ (.A0(\mandelbrot.alu.m3[30] ), + .A1(_0891_), + .S(net72), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0497_)); - sky130_fd_sc_hd__nand3_1 _3527_ (.A(_0465_), - .B(_0496_), - .C(_0497_), + .X(_0892_)); + sky130_fd_sc_hd__a22o_1 _2772_ (.A1(\mandelbrot.alu.m3[28] ), + .A2(net17), + .B1(_0892_), + .B2(net112), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0498_)); - sky130_fd_sc_hd__a21o_1 _3528_ (.A1(_0465_), - .A2(_0497_), - .B1(_0496_), + .X(_0210_)); + sky130_fd_sc_hd__mux2_1 _2773_ (.A0(_1425_), + .A1(_0514_), + .S(net123), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0499_)); - sky130_fd_sc_hd__and3_1 _3529_ (.A(net143), - .B(net135), - .C(net130), + .X(_0893_)); + sky130_fd_sc_hd__inv_2 _2774_ (.A(_0893_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0500_)); - sky130_fd_sc_hd__a21oi_1 _3530_ (.A1(net141), - .A2(net130), - .B1(net135), + .Y(_0894_)); + sky130_fd_sc_hd__nand2_1 _2775_ (.A(net70), + .B(_0893_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0501_)); - sky130_fd_sc_hd__nor2_1 _3531_ (.A(_0500_), - .B(_0501_), + .Y(_0895_)); + sky130_fd_sc_hd__o21ai_1 _2776_ (.A1(net70), + .A2(_0886_), + .B1(_0895_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0502_)); - sky130_fd_sc_hd__xnor2_1 _3532_ (.A(_0461_), - .B(_0502_), + .Y(_0896_)); + sky130_fd_sc_hd__nand2b_1 _2777_ (.A_N(_0896_), + .B(\mandelbrot.alu.m3[31] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0503_)); - sky130_fd_sc_hd__nand3_1 _3533_ (.A(_0498_), - .B(_0499_), - .C(_0503_), + .Y(_0897_)); + sky130_fd_sc_hd__xnor2_1 _2778_ (.A(\mandelbrot.alu.m3[31] ), + .B(_0896_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0504_)); - sky130_fd_sc_hd__a21boi_1 _3534_ (.A1(_0499_), - .A2(_0503_), - .B1_N(_0498_), + .Y(_0898_)); + sky130_fd_sc_hd__a32o_1 _2779_ (.A1(_0879_), + .A2(_0888_), + .A3(_0889_), + .B1(_0887_), + .B2(\mandelbrot.alu.m3[30] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0505_)); - sky130_fd_sc_hd__nand3_1 _3535_ (.A(_0420_), - .B(_0421_), - .C(_0423_), + .X(_0899_)); + sky130_fd_sc_hd__xnor2_1 _2780_ (.A(_0898_), + .B(_0899_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0506_)); - sky130_fd_sc_hd__and3_1 _3536_ (.A(_0466_), - .B(_0467_), - .C(_0506_), + .Y(_0900_)); + sky130_fd_sc_hd__nand2_1 _2781_ (.A(net73), + .B(_0900_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0507_)); - sky130_fd_sc_hd__a21oi_1 _3537_ (.A1(_0467_), - .A2(_0506_), - .B1(_0466_), + .Y(_0901_)); + sky130_fd_sc_hd__o21a_1 _2782_ (.A1(\mandelbrot.alu.m3[31] ), + .A2(net73), + .B1(net112), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0508_)); - sky130_fd_sc_hd__or3_1 _3538_ (.A(_0423_), - .B(_0507_), - .C(_0508_), + .X(_0902_)); + sky130_fd_sc_hd__a22o_1 _2783_ (.A1(\mandelbrot.alu.m3[29] ), + .A2(net17), + .B1(_0901_), + .B2(_0902_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0509_)); - sky130_fd_sc_hd__o21ai_1 _3539_ (.A1(_0507_), - .A2(_0508_), - .B1(_0423_), + .X(_0211_)); + sky130_fd_sc_hd__a21bo_1 _2784_ (.A1(_0898_), + .A2(_0899_), + .B1_N(_0897_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0510_)); - sky130_fd_sc_hd__a21o_1 _3540_ (.A1(_0509_), - .A2(_0510_), - .B1(_0505_), + .X(_0903_)); + sky130_fd_sc_hd__mux2_1 _2785_ (.A0(\mandelbrot.alu.in_zi[15] ), + .A1(_0512_), + .S(net123), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0511_)); - sky130_fd_sc_hd__nand3_1 _3541_ (.A(_0505_), - .B(_0509_), - .C(_0510_), + .X(_0904_)); + sky130_fd_sc_hd__mux2_1 _2786_ (.A0(_0894_), + .A1(_0904_), + .S(net70), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0512_)); - sky130_fd_sc_hd__o21bai_1 _3542_ (.A1(_0461_), - .A2(_0501_), - .B1_N(_0500_), + .X(_0905_)); + sky130_fd_sc_hd__xor2_1 _2787_ (.A(\mandelbrot.alu.m3[31] ), + .B(_0905_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0513_)); - sky130_fd_sc_hd__and3_1 _3543_ (.A(_0420_), - .B(_0421_), - .C(_0513_), + .X(_0906_)); + sky130_fd_sc_hd__xnor2_1 _2788_ (.A(_0903_), + .B(_0906_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0514_)); - sky130_fd_sc_hd__xnor2_1 _3544_ (.A(_0460_), - .B(_0513_), + .Y(_0907_)); + sky130_fd_sc_hd__nand2_1 _2789_ (.A(net73), + .B(_0907_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0515_)); - sky130_fd_sc_hd__nand3_1 _3545_ (.A(_0511_), - .B(_0512_), - .C(_0515_), + .Y(_0908_)); + sky130_fd_sc_hd__a22o_1 _2790_ (.A1(\mandelbrot.alu.m3[30] ), + .A2(net17), + .B1(_0902_), + .B2(_0908_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0516_)); - sky130_fd_sc_hd__a21bo_1 _3546_ (.A1(_0512_), - .A2(_0515_), - .B1_N(_0511_), + .X(_0212_)); + sky130_fd_sc_hd__o21ai_1 _2791_ (.A1(net122), + .A2(net38), + .B1(net59), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0517_)); - sky130_fd_sc_hd__a21o_1 _3547_ (.A1(_0469_), - .A2(_0470_), - .B1(_0474_), + .Y(_0909_)); + sky130_fd_sc_hd__nor2_1 _2792_ (.A(\mandelbrot.alu.mult_zi_zi.ctr[0] ), + .B(_1427_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0518_)); - sky130_fd_sc_hd__nand3_1 _3548_ (.A(_0475_), - .B(_0517_), - .C(_0518_), + .Y(_0910_)); + sky130_fd_sc_hd__a22o_1 _2793_ (.A1(net245), + .A2(_0909_), + .B1(_0910_), + .B2(net122), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0519_)); - sky130_fd_sc_hd__inv_2 _3549_ (.A(_0519_), + .X(_0213_)); + sky130_fd_sc_hd__nor2_1 _2794_ (.A(\mandelbrot.alu.mult_zi_zi.ctr[0] ), + .B(\mandelbrot.alu.mult_zi_zi.ctr[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0520_)); - sky130_fd_sc_hd__a21o_1 _3550_ (.A1(_0475_), - .A2(_0518_), - .B1(_0517_), + .Y(_0911_)); + sky130_fd_sc_hd__nor2_1 _2795_ (.A(_1633_), + .B(_0911_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0521_)); - sky130_fd_sc_hd__and3_1 _3551_ (.A(_0514_), - .B(_0519_), - .C(_0521_), + .Y(_0912_)); + sky130_fd_sc_hd__a32o_1 _2796_ (.A1(net122), + .A2(net59), + .A3(_0912_), + .B1(_0909_), + .B2(net165), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0522_)); - sky130_fd_sc_hd__o21ai_1 _3552_ (.A1(_0478_), - .A2(_0479_), - .B1(_0483_), + .X(_0214_)); + sky130_fd_sc_hd__or2_1 _2797_ (.A(\mandelbrot.alu.mult_zi_zi.ctr[2] ), + .B(_1633_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0523_)); - sky130_fd_sc_hd__o211a_1 _3553_ (.A1(_0520_), - .A2(_0522_), - .B1(_0523_), - .C1(_0484_), + .X(_0913_)); + sky130_fd_sc_hd__and4_1 _2798_ (.A(net122), + .B(net59), + .C(_1634_), + .D(_0913_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0524_)); - sky130_fd_sc_hd__and2b_1 _3554_ (.A_N(_0492_), - .B(_0524_), + .X(_0914_)); + sky130_fd_sc_hd__a21o_1 _2799_ (.A1(net162), + .A2(_0909_), + .B1(_0914_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0525_)); - sky130_fd_sc_hd__xnor2_1 _3555_ (.A(_0492_), - .B(_0524_), + .X(_0215_)); + sky130_fd_sc_hd__mux2_1 _2800_ (.A0(_0524_), + .A1(_0526_), + .S(\mandelbrot.alu.m2[31] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0526_)); - sky130_fd_sc_hd__a21o_1 _3556_ (.A1(_0498_), - .A2(_0499_), - .B1(_0503_), + .X(_0915_)); + sky130_fd_sc_hd__a22o_1 _2801_ (.A1(\mandelbrot.alu.m2[31] ), + .A2(_0524_), + .B1(_0528_), + .B2(_0915_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0527_)); - sky130_fd_sc_hd__xnor2_1 _3557_ (.A(_0493_), - .B(_0495_), + .X(_0916_)); + sky130_fd_sc_hd__o211a_1 _2802_ (.A1(net119), + .A2(net40), + .B1(_0406_), + .C1(\mandelbrot.alu.m2[31] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0528_)); - sky130_fd_sc_hd__and3_1 _3558_ (.A(_0504_), - .B(_0527_), - .C(_0528_), + .X(_0917_)); + sky130_fd_sc_hd__a31o_1 _2803_ (.A1(net119), + .A2(net77), + .A3(_0916_), + .B1(_0917_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0529_)); - sky130_fd_sc_hd__a21o_1 _3559_ (.A1(_0504_), - .A2(_0527_), - .B1(_0528_), + .X(_0216_)); + sky130_fd_sc_hd__nand2_1 _2804_ (.A(\mandelbrot.x[1] ), + .B(\mandelbrot.x[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0530_)); - sky130_fd_sc_hd__and2b_1 _3560_ (.A_N(_0529_), - .B(_0530_), + .Y(_0918_)); + sky130_fd_sc_hd__and4_1 _2805_ (.A(\mandelbrot.x[1] ), + .B(\mandelbrot.x[0] ), + .C(\mandelbrot.x[3] ), + .D(\mandelbrot.x[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0531_)); - sky130_fd_sc_hd__and3_1 _3561_ (.A(net151), - .B(net120), - .C(_0496_), + .X(_0919_)); + sky130_fd_sc_hd__and3b_1 _2806_ (.A_N(\mandelbrot.x[6] ), + .B(\mandelbrot.x[7] ), + .C(\mandelbrot.x[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0532_)); - sky130_fd_sc_hd__a21oi_1 _3562_ (.A1(net151), - .A2(net120), - .B1(_0496_), + .X(_0920_)); + sky130_fd_sc_hd__and4bb_1 _2807_ (.A_N(\mandelbrot.x[5] ), + .B_N(\mandelbrot.x[4] ), + .C(_0919_), + .D(_0920_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0533_)); - sky130_fd_sc_hd__nor2_1 _3563_ (.A(_0532_), - .B(_0533_), + .X(_0921_)); + sky130_fd_sc_hd__nor2_1 _2808_ (.A(net107), + .B(_0921_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0534_)); - sky130_fd_sc_hd__a21o_1 _3564_ (.A1(_0530_), - .A2(_0534_), - .B1(_0529_), + .Y(_0922_)); + sky130_fd_sc_hd__or2_1 _2809_ (.A(net107), + .B(_0921_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0535_)); - sky130_fd_sc_hd__a21o_1 _3565_ (.A1(_0511_), - .A2(_0512_), - .B1(_0515_), + .X(_0923_)); + sky130_fd_sc_hd__and3b_2 _2810_ (.A_N(_1428_), + .B(_1639_), + .C(net59), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0536_)); - sky130_fd_sc_hd__nand3_1 _3566_ (.A(_0516_), - .B(_0535_), - .C(_0536_), + .X(_0924_)); + sky130_fd_sc_hd__or3b_1 _2811_ (.A(_1427_), + .B(_1428_), + .C_N(_1639_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0537_)); - sky130_fd_sc_hd__a21o_1 _3567_ (.A1(_0516_), - .A2(_0536_), - .B1(_0535_), + .X(_0925_)); + sky130_fd_sc_hd__and2_1 _2812_ (.A(_1625_), + .B(_0924_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0538_)); - sky130_fd_sc_hd__nand3_1 _3568_ (.A(_0532_), - .B(_0537_), - .C(_0538_), + .X(_0926_)); + sky130_fd_sc_hd__nand2_1 _2813_ (.A(_1625_), + .B(_0924_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0539_)); - sky130_fd_sc_hd__and2_1 _3569_ (.A(_0537_), - .B(_0539_), + .Y(_0927_)); + sky130_fd_sc_hd__and3_2 _2814_ (.A(_1625_), + .B(_0923_), + .C(_0924_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0540_)); - sky130_fd_sc_hd__a21oi_1 _3570_ (.A1(_0519_), - .A2(_0521_), - .B1(_0514_), + .X(_0928_)); + sky130_fd_sc_hd__nand2_1 _2815_ (.A(_0923_), + .B(net33), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0541_)); - sky130_fd_sc_hd__or2_1 _3571_ (.A(_0522_), - .B(_0541_), + .Y(_0929_)); + sky130_fd_sc_hd__nor2_1 _2816_ (.A(\mandelbrot.alu.in_ci[0] ), + .B(\mandelbrot.scaling[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0542_)); - sky130_fd_sc_hd__or2_1 _3572_ (.A(_0540_), - .B(_0542_), + .Y(_0930_)); + sky130_fd_sc_hd__and2_1 _2817_ (.A(\mandelbrot.alu.in_ci[0] ), + .B(\mandelbrot.scaling[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0543_)); - sky130_fd_sc_hd__a211oi_1 _3573_ (.A1(_0484_), - .A2(_0523_), - .B1(_0522_), - .C1(_0520_), + .X(_0931_)); + sky130_fd_sc_hd__or2_1 _2818_ (.A(_0930_), + .B(_0931_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0544_)); - sky130_fd_sc_hd__nor3_1 _3574_ (.A(_0524_), - .B(_0543_), - .C(_0544_), + .X(_0932_)); + sky130_fd_sc_hd__mux2_1 _2819_ (.A0(\mandelbrot.ci_offset[0] ), + .A1(_0932_), + .S(net99), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0545_)); - sky130_fd_sc_hd__o21a_1 _3575_ (.A1(_0524_), - .A2(_0544_), - .B1(_0543_), + .X(_0933_)); + sky130_fd_sc_hd__mux2_1 _2820_ (.A0(\mandelbrot.alu.in_ci[0] ), + .A1(_0933_), + .S(net29), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0546_)); - sky130_fd_sc_hd__inv_2 _3576_ (.A(_0546_), + .X(_0217_)); + sky130_fd_sc_hd__and2_1 _2821_ (.A(\mandelbrot.alu.in_ci[1] ), + .B(\mandelbrot.scaling[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0547_)); - sky130_fd_sc_hd__xnor2_1 _3577_ (.A(_0531_), - .B(_0534_), + .X(_0934_)); + sky130_fd_sc_hd__nor2_1 _2822_ (.A(\mandelbrot.alu.in_ci[1] ), + .B(\mandelbrot.scaling[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0548_)); - sky130_fd_sc_hd__a22o_1 _3578_ (.A1(net148), - .A2(net136), - .B1(net131), - .B2(net151), + .Y(_0935_)); + sky130_fd_sc_hd__or3_1 _2823_ (.A(_0930_), + .B(_0934_), + .C(_0935_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0549_)); - sky130_fd_sc_hd__a21o_1 _3579_ (.A1(_2398_), - .A2(_2429_), - .B1(_2427_), + .X(_0936_)); + sky130_fd_sc_hd__o21ai_1 _2824_ (.A1(_0934_), + .A2(_0935_), + .B1(_0930_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0550_)); - sky130_fd_sc_hd__nand2_1 _3580_ (.A(_0549_), - .B(_0550_), + .Y(_0937_)); + sky130_fd_sc_hd__and2_1 _2825_ (.A(net107), + .B(\mandelbrot.ci_offset[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0551_)); - sky130_fd_sc_hd__nor2_1 _3581_ (.A(_0548_), - .B(_0551_), + .X(_0938_)); + sky130_fd_sc_hd__a31o_1 _2826_ (.A1(net99), + .A2(_0936_), + .A3(_0937_), + .B1(_0938_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0552_)); - sky130_fd_sc_hd__a21o_1 _3582_ (.A1(_0537_), - .A2(_0538_), - .B1(_0532_), + .X(_0939_)); + sky130_fd_sc_hd__mux2_1 _2827_ (.A0(\mandelbrot.alu.in_ci[1] ), + .A1(_0939_), + .S(net28), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0553_)); - sky130_fd_sc_hd__nand3_2 _3583_ (.A(_0539_), - .B(_0552_), - .C(_0553_), + .X(_0218_)); + sky130_fd_sc_hd__nand2_1 _2828_ (.A(\mandelbrot.alu.in_ci[2] ), + .B(\mandelbrot.scaling[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0554_)); - sky130_fd_sc_hd__xnor2_2 _3584_ (.A(_0540_), - .B(_0542_), + .Y(_0940_)); + sky130_fd_sc_hd__or2_1 _2829_ (.A(\mandelbrot.alu.in_ci[2] ), + .B(\mandelbrot.scaling[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0555_)); - sky130_fd_sc_hd__nor2_1 _3585_ (.A(_0554_), - .B(_0555_), + .X(_0941_)); + sky130_fd_sc_hd__nand2b_1 _2830_ (.A_N(_0934_), + .B(_0936_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0556_)); - sky130_fd_sc_hd__xor2_1 _3586_ (.A(_0548_), - .B(_0551_), + .Y(_0942_)); + sky130_fd_sc_hd__a21o_1 _2831_ (.A1(_0940_), + .A2(_0941_), + .B1(_0942_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0557_)); - sky130_fd_sc_hd__and3_1 _3587_ (.A(net151), - .B(net142), - .C(net137), + .X(_0943_)); + sky130_fd_sc_hd__nand3_1 _2832_ (.A(_0940_), + .B(_0941_), + .C(_0942_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0558_)); - sky130_fd_sc_hd__and4b_1 _3588_ (.A_N(net148), - .B(net132), - .C(_0557_), - .D(_0558_), + .Y(_0944_)); + sky130_fd_sc_hd__and2_1 _2833_ (.A(net106), + .B(\mandelbrot.ci_offset[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0559_)); - sky130_fd_sc_hd__a21o_1 _3589_ (.A1(_0539_), - .A2(_0553_), - .B1(_0552_), + .X(_0945_)); + sky130_fd_sc_hd__a31o_1 _2834_ (.A1(net99), + .A2(_0943_), + .A3(_0944_), + .B1(_0945_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0560_)); - sky130_fd_sc_hd__and3_1 _3590_ (.A(_0554_), - .B(_0559_), - .C(_0560_), + .X(_0946_)); + sky130_fd_sc_hd__mux2_1 _2835_ (.A0(net301), + .A1(_0946_), + .S(net28), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0561_)); - sky130_fd_sc_hd__o21a_1 _3591_ (.A1(_2399_), - .A2(net35), - .B1(_0549_), + .X(_0219_)); + sky130_fd_sc_hd__and2_1 _2836_ (.A(\mandelbrot.alu.in_ci[3] ), + .B(\mandelbrot.scaling[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0562_)); - sky130_fd_sc_hd__and4bb_1 _3592_ (.A_N(_0333_), - .B_N(_0562_), - .C(_0557_), - .D(_2429_), + .X(_0947_)); + sky130_fd_sc_hd__nor2_1 _2837_ (.A(\mandelbrot.alu.in_ci[3] ), + .B(\mandelbrot.scaling[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0563_)); - sky130_fd_sc_hd__a21o_1 _3593_ (.A1(_0554_), - .A2(_0560_), - .B1(_0559_), + .Y(_0948_)); + sky130_fd_sc_hd__o211a_1 _2838_ (.A1(_0947_), + .A2(_0948_), + .B1(_0940_), + .C1(_0944_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0564_)); - sky130_fd_sc_hd__nand2b_1 _3594_ (.A_N(_0561_), - .B(_0564_), + .X(_0949_)); + sky130_fd_sc_hd__a211oi_2 _2839_ (.A1(_0940_), + .A2(_0944_), + .B1(_0947_), + .C1(_0948_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0565_)); - sky130_fd_sc_hd__a21o_1 _3595_ (.A1(_0563_), - .A2(_0564_), - .B1(_0561_), + .Y(_0950_)); + sky130_fd_sc_hd__nor2_1 _2840_ (.A(_0949_), + .B(_0950_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0566_)); - sky130_fd_sc_hd__xor2_2 _3596_ (.A(_0554_), - .B(_0555_), + .Y(_0951_)); + sky130_fd_sc_hd__mux2_1 _2841_ (.A0(\mandelbrot.ci_offset[3] ), + .A1(_0951_), + .S(net100), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0567_)); - sky130_fd_sc_hd__a21oi_1 _3597_ (.A1(_0566_), - .A2(_0567_), - .B1(_0556_), + .X(_0952_)); + sky130_fd_sc_hd__mux2_1 _2842_ (.A0(net300), + .A1(_0952_), + .S(net28), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0568_)); - sky130_fd_sc_hd__nor2_1 _3598_ (.A(_0545_), - .B(_0546_), + .X(_0220_)); + sky130_fd_sc_hd__nand2_1 _2843_ (.A(\mandelbrot.alu.in_ci[4] ), + .B(\mandelbrot.scaling[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0569_)); - sky130_fd_sc_hd__a211o_1 _3599_ (.A1(_0566_), - .A2(_0567_), - .B1(_0545_), - .C1(_0556_), + .Y(_0953_)); + sky130_fd_sc_hd__or2_1 _2844_ (.A(\mandelbrot.alu.in_ci[4] ), + .B(\mandelbrot.scaling[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0570_)); - sky130_fd_sc_hd__nand3_1 _3600_ (.A(_0526_), - .B(_0547_), - .C(_0570_), + .X(_0954_)); + sky130_fd_sc_hd__a211o_1 _2845_ (.A1(_0953_), + .A2(_0954_), + .B1(_0947_), + .C1(_0950_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0571_)); - sky130_fd_sc_hd__a31o_1 _3601_ (.A1(_0526_), - .A2(_0547_), - .A3(_0570_), - .B1(_0525_), + .X(_0955_)); + sky130_fd_sc_hd__o211a_1 _2846_ (.A1(_0947_), + .A2(_0950_), + .B1(_0953_), + .C1(_0954_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0572_)); - sky130_fd_sc_hd__a311o_1 _3602_ (.A1(_0526_), - .A2(_0547_), - .A3(_0570_), - .B1(_0525_), - .C1(_0490_), + .X(_0956_)); + sky130_fd_sc_hd__nor2_1 _2847_ (.A(net106), + .B(_0956_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0573_)); - sky130_fd_sc_hd__nand2_1 _3603_ (.A(_0491_), - .B(_0573_), + .Y(_0957_)); + sky130_fd_sc_hd__a22o_1 _2848_ (.A1(net106), + .A2(\mandelbrot.ci_offset[4] ), + .B1(_0955_), + .B2(_0957_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0574_)); - sky130_fd_sc_hd__a31o_1 _3604_ (.A1(_0457_), - .A2(_0491_), - .A3(_0573_), - .B1(_0455_), + .X(_0958_)); + sky130_fd_sc_hd__mux2_1 _2849_ (.A0(\mandelbrot.alu.in_ci[4] ), + .A1(_0958_), + .S(_0928_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0575_)); - sky130_fd_sc_hd__a311o_1 _3605_ (.A1(_0457_), - .A2(_0491_), - .A3(_0573_), - .B1(_0455_), - .C1(_0416_), + .X(_0221_)); + sky130_fd_sc_hd__a21oi_1 _2850_ (.A1(\mandelbrot.alu.in_ci[4] ), + .A2(\mandelbrot.scaling[4] ), + .B1(_0956_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0576_)); - sky130_fd_sc_hd__nor2_1 _3606_ (.A(_0415_), - .B(_0416_), + .Y(_0959_)); + sky130_fd_sc_hd__nor2_1 _2851_ (.A(\mandelbrot.alu.in_ci[5] ), + .B(\mandelbrot.scaling[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0577_)); - sky130_fd_sc_hd__nand2_1 _3607_ (.A(_0414_), - .B(_0576_), + .Y(_0960_)); + sky130_fd_sc_hd__and2_1 _2852_ (.A(\mandelbrot.alu.in_ci[5] ), + .B(\mandelbrot.scaling[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0578_)); - sky130_fd_sc_hd__and2_1 _3608_ (.A(_0318_), - .B(_0366_), + .X(_0961_)); + sky130_fd_sc_hd__or3_1 _2853_ (.A(_0959_), + .B(_0960_), + .C(_0961_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0579_)); - sky130_fd_sc_hd__nor2_1 _3609_ (.A(_0367_), - .B(_0579_), + .X(_0962_)); + sky130_fd_sc_hd__o21ai_1 _2854_ (.A1(_0960_), + .A2(_0961_), + .B1(_0959_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0580_)); - sky130_fd_sc_hd__a31o_1 _3610_ (.A1(_0414_), - .A2(_0576_), - .A3(_0580_), - .B1(_0367_), + .Y(_0963_)); + sky130_fd_sc_hd__and2_1 _2855_ (.A(net106), + .B(\mandelbrot.ci_offset[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0581_)); - sky130_fd_sc_hd__a311o_1 _3611_ (.A1(_0414_), - .A2(_0576_), - .A3(_0580_), - .B1(_0367_), - .C1(_0316_), + .X(_0964_)); + sky130_fd_sc_hd__a31o_1 _2856_ (.A1(net98), + .A2(_0962_), + .A3(_0963_), + .B1(_0964_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0582_)); - sky130_fd_sc_hd__nand2_1 _3612_ (.A(_0315_), - .B(_0317_), + .X(_0965_)); + sky130_fd_sc_hd__mux2_1 _2857_ (.A0(net286), + .A1(_0965_), + .S(net27), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0583_)); - sky130_fd_sc_hd__and3_1 _3613_ (.A(_0273_), - .B(_0315_), - .C(_0582_), + .X(_0222_)); + sky130_fd_sc_hd__nand2_1 _2858_ (.A(\mandelbrot.alu.in_ci[6] ), + .B(\mandelbrot.scaling[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0584_)); - sky130_fd_sc_hd__a31o_1 _3614_ (.A1(_0273_), - .A2(_0315_), - .A3(_0582_), - .B1(_0272_), + .Y(_0966_)); + sky130_fd_sc_hd__or2_1 _2859_ (.A(\mandelbrot.alu.in_ci[6] ), + .B(\mandelbrot.scaling[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0585_)); - sky130_fd_sc_hd__a21bo_1 _3615_ (.A1(_0230_), - .A2(_0585_), - .B1_N(_0228_), + .X(_0967_)); + sky130_fd_sc_hd__nand2_1 _2860_ (.A(_0966_), + .B(_0967_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0586_)); - sky130_fd_sc_hd__a21boi_2 _3616_ (.A1(_0196_), - .A2(_0586_), - .B1_N(_0194_), + .Y(_0968_)); + sky130_fd_sc_hd__o21ba_1 _2861_ (.A1(_0959_), + .A2(_0960_), + .B1_N(_0961_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0587_)); - sky130_fd_sc_hd__and2b_1 _3617_ (.A_N(_0587_), - .B(_0157_), + .X(_0969_)); + sky130_fd_sc_hd__xor2_1 _2862_ (.A(_0968_), + .B(_0969_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0588_)); - sky130_fd_sc_hd__xnor2_4 _3618_ (.A(_0157_), - .B(_0587_), + .X(_0970_)); + sky130_fd_sc_hd__mux2_1 _2863_ (.A0(\mandelbrot.ci_offset[6] ), + .A1(_0970_), + .S(net100), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0589_)); - sky130_fd_sc_hd__and2b_1 _3619_ (.A_N(_2394_), - .B(_0589_), + .X(_0971_)); + sky130_fd_sc_hd__mux2_1 _2864_ (.A0(net260), + .A1(_0971_), + .S(net27), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0590_)); - sky130_fd_sc_hd__xor2_4 _3620_ (.A(_2022_), - .B(_2391_), + .X(_0223_)); + sky130_fd_sc_hd__o21a_1 _2865_ (.A1(_0968_), + .A2(_0969_), + .B1(_0966_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0591_)); - sky130_fd_sc_hd__xor2_1 _3621_ (.A(_0196_), - .B(_0586_), + .X(_0972_)); + sky130_fd_sc_hd__xnor2_1 _2866_ (.A(\mandelbrot.alu.in_ci[7] ), + .B(_0972_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0592_)); - sky130_fd_sc_hd__and2b_1 _3622_ (.A_N(_0591_), - .B(_0592_), + .Y(_0973_)); + sky130_fd_sc_hd__mux2_1 _2867_ (.A0(\mandelbrot.ci_offset[7] ), + .A1(_0973_), + .S(net98), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0593_)); - sky130_fd_sc_hd__xnor2_2 _3623_ (.A(_2059_), - .B(_2390_), + .X(_0974_)); + sky130_fd_sc_hd__mux2_1 _2868_ (.A0(\mandelbrot.alu.in_ci[7] ), + .A1(_0974_), + .S(_0928_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0594_)); - sky130_fd_sc_hd__xor2_1 _3624_ (.A(_0230_), - .B(_0585_), + .X(_0224_)); + sky130_fd_sc_hd__and3b_1 _2869_ (.A_N(_0972_), + .B(\mandelbrot.alu.in_ci[7] ), + .C(\mandelbrot.alu.in_ci[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0595_)); - sky130_fd_sc_hd__and2b_1 _3625_ (.A_N(_0594_), - .B(_0595_), + .X(_0975_)); + sky130_fd_sc_hd__o21ba_1 _2870_ (.A1(_1421_), + .A2(_0972_), + .B1_N(\mandelbrot.alu.in_ci[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0596_)); - sky130_fd_sc_hd__xnor2_2 _3626_ (.A(_2100_), - .B(_2389_), + .X(_0976_)); + sky130_fd_sc_hd__nor2_1 _2871_ (.A(_0975_), + .B(_0976_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0597_)); - sky130_fd_sc_hd__a21oi_1 _3627_ (.A1(_0315_), - .A2(_0582_), - .B1(_0273_), + .Y(_0977_)); + sky130_fd_sc_hd__mux2_1 _2872_ (.A0(\mandelbrot.ci_offset[8] ), + .A1(_0977_), + .S(net98), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0598_)); - sky130_fd_sc_hd__or2_1 _3628_ (.A(_0584_), - .B(_0598_), + .X(_0978_)); + sky130_fd_sc_hd__mux2_1 _2873_ (.A0(net268), + .A1(_0978_), + .S(net27), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0599_)); - sky130_fd_sc_hd__nor2_1 _3629_ (.A(_0597_), - .B(_0599_), + .X(_0225_)); + sky130_fd_sc_hd__nor2_1 _2874_ (.A(\mandelbrot.alu.in_ci[9] ), + .B(_0975_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0600_)); - sky130_fd_sc_hd__xor2_4 _3630_ (.A(_2139_), - .B(_2386_), + .Y(_0979_)); + sky130_fd_sc_hd__and2_1 _2875_ (.A(\mandelbrot.alu.in_ci[9] ), + .B(_0975_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0601_)); - sky130_fd_sc_hd__xor2_2 _3631_ (.A(_0581_), - .B(_0583_), + .X(_0980_)); + sky130_fd_sc_hd__or3_1 _2876_ (.A(net106), + .B(_0979_), + .C(_0980_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0602_)); - sky130_fd_sc_hd__nor2_1 _3632_ (.A(_0601_), - .B(_0602_), + .X(_0981_)); + sky130_fd_sc_hd__a21bo_1 _2877_ (.A1(net106), + .A2(\mandelbrot.ci_offset[9] ), + .B1_N(_0981_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0603_)); - sky130_fd_sc_hd__xnor2_4 _3633_ (.A(_2383_), - .B(_2384_), + .X(_0982_)); + sky130_fd_sc_hd__mux2_1 _2878_ (.A0(net277), + .A1(_0982_), + .S(net27), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0604_)); - sky130_fd_sc_hd__xnor2_2 _3634_ (.A(_0578_), - .B(_0580_), + .X(_0226_)); + sky130_fd_sc_hd__xor2_1 _2879_ (.A(\mandelbrot.alu.in_ci[10] ), + .B(_0980_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0605_)); - sky130_fd_sc_hd__nand2b_1 _3635_ (.A_N(_0604_), - .B(_0605_), + .X(_0983_)); + sky130_fd_sc_hd__mux2_1 _2880_ (.A0(\mandelbrot.ci_offset[10] ), + .A1(_0983_), + .S(net98), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0606_)); - sky130_fd_sc_hd__xor2_2 _3636_ (.A(_0575_), - .B(_0577_), + .X(_0984_)); + sky130_fd_sc_hd__mux2_1 _2881_ (.A0(\mandelbrot.alu.in_ci[10] ), + .A1(_0984_), + .S(net27), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0607_)); - sky130_fd_sc_hd__inv_2 _3637_ (.A(_0607_), + .X(_0227_)); + sky130_fd_sc_hd__and3_1 _2882_ (.A(\mandelbrot.alu.in_ci[11] ), + .B(\mandelbrot.alu.in_ci[10] ), + .C(_0980_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0608_)); - sky130_fd_sc_hd__and2b_1 _3638_ (.A_N(_2210_), - .B(_2211_), + .X(_0985_)); + sky130_fd_sc_hd__a21oi_1 _2883_ (.A1(\mandelbrot.alu.in_ci[10] ), + .A2(_0980_), + .B1(\mandelbrot.alu.in_ci[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0609_)); - sky130_fd_sc_hd__xnor2_2 _3639_ (.A(_2381_), - .B(_0609_), + .Y(_0986_)); + sky130_fd_sc_hd__nor2_1 _2884_ (.A(_0985_), + .B(_0986_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0610_)); - sky130_fd_sc_hd__nor2_1 _3640_ (.A(_0608_), - .B(_0610_), + .Y(_0987_)); + sky130_fd_sc_hd__mux2_1 _2885_ (.A0(\mandelbrot.ci_offset[11] ), + .A1(_0987_), + .S(net98), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0611_)); - sky130_fd_sc_hd__nor2_1 _3641_ (.A(_0607_), - .B(_0610_), + .X(_0988_)); + sky130_fd_sc_hd__mux2_1 _2886_ (.A0(net287), + .A1(_0988_), + .S(net27), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0612_)); - sky130_fd_sc_hd__or2_1 _3642_ (.A(_0607_), - .B(_0610_), + .X(_0228_)); + sky130_fd_sc_hd__and2_1 _2887_ (.A(\mandelbrot.alu.in_ci[12] ), + .B(_0985_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0613_)); - sky130_fd_sc_hd__nand2_1 _3643_ (.A(_0607_), - .B(_0610_), + .X(_0989_)); + sky130_fd_sc_hd__nor2_1 _2888_ (.A(\mandelbrot.alu.in_ci[12] ), + .B(_0985_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0614_)); - sky130_fd_sc_hd__and2_1 _3644_ (.A(_0613_), - .B(_0614_), + .Y(_0990_)); + sky130_fd_sc_hd__o21ai_1 _2889_ (.A1(_0989_), + .A2(_0990_), + .B1(net98), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0615_)); - sky130_fd_sc_hd__nand2_1 _3645_ (.A(_0613_), - .B(_0614_), + .Y(_0991_)); + sky130_fd_sc_hd__o21a_1 _2890_ (.A1(net98), + .A2(\mandelbrot.ci_offset[12] ), + .B1(_0991_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0616_)); - sky130_fd_sc_hd__a21oi_2 _3646_ (.A1(_2275_), - .A2(_2379_), - .B1(_2245_), + .X(_0992_)); + sky130_fd_sc_hd__mux2_1 _2891_ (.A0(net285), + .A1(_0992_), + .S(net27), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0617_)); - sky130_fd_sc_hd__or2_1 _3647_ (.A(_2380_), - .B(_0617_), + .X(_0229_)); + sky130_fd_sc_hd__xor2_1 _2892_ (.A(\mandelbrot.alu.in_ci[13] ), + .B(_0989_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0618_)); - sky130_fd_sc_hd__xnor2_1 _3648_ (.A(_0457_), - .B(_0574_), + .X(_0993_)); + sky130_fd_sc_hd__mux2_1 _2893_ (.A0(\mandelbrot.ci_offset[13] ), + .A1(_0993_), + .S(net98), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0619_)); - sky130_fd_sc_hd__xor2_2 _3649_ (.A(_0457_), - .B(_0574_), + .X(_0994_)); + sky130_fd_sc_hd__mux2_1 _2894_ (.A0(\mandelbrot.alu.in_ci[13] ), + .A1(_0994_), + .S(net27), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0620_)); - sky130_fd_sc_hd__and2b_1 _3650_ (.A_N(_2274_), - .B(_2275_), + .X(_0230_)); + sky130_fd_sc_hd__and3_1 _2895_ (.A(\mandelbrot.alu.in_ci[14] ), + .B(\mandelbrot.alu.in_ci[13] ), + .C(_0989_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0621_)); - sky130_fd_sc_hd__xnor2_4 _3651_ (.A(_2378_), - .B(_0621_), + .X(_0995_)); + sky130_fd_sc_hd__a21oi_1 _2896_ (.A1(\mandelbrot.alu.in_ci[13] ), + .A2(_0989_), + .B1(\mandelbrot.alu.in_ci[14] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0622_)); - sky130_fd_sc_hd__and2b_1 _3652_ (.A_N(_0490_), - .B(_0491_), + .Y(_0996_)); + sky130_fd_sc_hd__nor2_1 _2897_ (.A(_0995_), + .B(_0996_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0623_)); - sky130_fd_sc_hd__xnor2_4 _3653_ (.A(_0572_), - .B(_0623_), + .Y(_0997_)); + sky130_fd_sc_hd__mux2_1 _2898_ (.A0(\mandelbrot.ci_offset[14] ), + .A1(_0997_), + .S(net98), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0624_)); - sky130_fd_sc_hd__nor2_1 _3654_ (.A(_0622_), - .B(_0624_), + .X(_0998_)); + sky130_fd_sc_hd__mux2_1 _2899_ (.A0(net308), + .A1(_0998_), + .S(net27), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0625_)); - sky130_fd_sc_hd__xor2_2 _3655_ (.A(_2300_), - .B(_2377_), + .X(_0231_)); + sky130_fd_sc_hd__xor2_1 _2900_ (.A(\mandelbrot.alu.in_ci[15] ), + .B(_0995_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0626_)); - sky130_fd_sc_hd__a21o_1 _3656_ (.A1(_0547_), - .A2(_0570_), - .B1(_0526_), + .X(_0999_)); + sky130_fd_sc_hd__mux2_1 _2901_ (.A0(\mandelbrot.ci_offset[15] ), + .A1(_0999_), + .S(net98), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0627_)); - sky130_fd_sc_hd__nand2_1 _3657_ (.A(_0571_), - .B(_0627_), + .X(_1000_)); + sky130_fd_sc_hd__mux2_1 _2902_ (.A0(\mandelbrot.alu.in_ci[15] ), + .A1(_1000_), + .S(net27), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0628_)); - sky130_fd_sc_hd__and3_1 _3658_ (.A(_0571_), - .B(_0626_), - .C(_0627_), + .X(_0232_)); + sky130_fd_sc_hd__and3_1 _2903_ (.A(_1625_), + .B(_0922_), + .C(_0924_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0629_)); - sky130_fd_sc_hd__a21o_1 _3659_ (.A1(_0571_), - .A2(_0627_), - .B1(_0626_), + .X(_1001_)); + sky130_fd_sc_hd__nand2_1 _2904_ (.A(_0922_), + .B(net33), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0630_)); - sky130_fd_sc_hd__and2_1 _3660_ (.A(_0626_), - .B(_0628_), + .Y(_1002_)); + sky130_fd_sc_hd__xor2_1 _2905_ (.A(\mandelbrot.alu.in_cr[0] ), + .B(\mandelbrot.scaling[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0631_)); - sky130_fd_sc_hd__or2_1 _3661_ (.A(_0626_), - .B(_0628_), + .X(_1003_)); + sky130_fd_sc_hd__nand2_1 _2906_ (.A(_1001_), + .B(_1003_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0632_)); - sky130_fd_sc_hd__and2b_1 _3662_ (.A_N(_0629_), - .B(_0630_), + .Y(_1004_)); + sky130_fd_sc_hd__o221a_1 _2907_ (.A1(\mandelbrot.alu.in_cr[0] ), + .A2(net33), + .B1(net11), + .B2(net155), + .C1(_1004_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0633_)); - sky130_fd_sc_hd__xor2_2 _3663_ (.A(_2323_), - .B(_2376_), + .X(_0233_)); + sky130_fd_sc_hd__nand2_1 _2908_ (.A(\mandelbrot.alu.in_cr[1] ), + .B(\mandelbrot.scaling[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0634_)); - sky130_fd_sc_hd__inv_2 _3664_ (.A(_0634_), + .Y(_1005_)); + sky130_fd_sc_hd__inv_2 _2909_ (.A(_1005_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0635_)); - sky130_fd_sc_hd__xnor2_2 _3665_ (.A(_0568_), - .B(_0569_), + .Y(_1006_)); + sky130_fd_sc_hd__or2_1 _2910_ (.A(\mandelbrot.alu.in_cr[1] ), + .B(\mandelbrot.scaling[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0636_)); - sky130_fd_sc_hd__or2_1 _3666_ (.A(_0634_), - .B(_0636_), + .X(_1007_)); + sky130_fd_sc_hd__a211o_1 _2911_ (.A1(_1005_), + .A2(_1007_), + .B1(\mandelbrot.alu.in_cr[0] ), + .C1(\mandelbrot.scaling[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0637_)); - sky130_fd_sc_hd__xor2_2 _3667_ (.A(_2373_), - .B(_2375_), + .X(_1008_)); + sky130_fd_sc_hd__o211a_1 _2912_ (.A1(\mandelbrot.alu.in_cr[0] ), + .A2(\mandelbrot.scaling[0] ), + .B1(_1005_), + .C1(_1007_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0638_)); - sky130_fd_sc_hd__xor2_1 _3668_ (.A(_0566_), - .B(_0567_), + .X(_1009_)); + sky130_fd_sc_hd__and3b_1 _2913_ (.A_N(_1009_), + .B(net26), + .C(_1008_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0639_)); - sky130_fd_sc_hd__xnor2_1 _3669_ (.A(_0566_), - .B(_0567_), + .X(_1010_)); + sky130_fd_sc_hd__a221o_1 _2914_ (.A1(\mandelbrot.alu.in_cr[1] ), + .A2(net32), + .B1(net31), + .B2(net161), + .C1(_1010_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0640_)); - sky130_fd_sc_hd__nor2_1 _3670_ (.A(_0638_), - .B(_0640_), + .X(_0234_)); + sky130_fd_sc_hd__nand2_1 _2915_ (.A(\mandelbrot.alu.in_cr[2] ), + .B(\mandelbrot.scaling[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0641_)); - sky130_fd_sc_hd__xnor2_1 _3671_ (.A(_2365_), - .B(_2372_), + .Y(_1011_)); + sky130_fd_sc_hd__or2_1 _2916_ (.A(\mandelbrot.alu.in_cr[2] ), + .B(\mandelbrot.scaling[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0642_)); - sky130_fd_sc_hd__xnor2_1 _3672_ (.A(_0563_), - .B(_0565_), + .X(_1012_)); + sky130_fd_sc_hd__a211o_1 _2917_ (.A1(_1011_), + .A2(_1012_), + .B1(_1006_), + .C1(_1009_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0643_)); - sky130_fd_sc_hd__nand2_1 _3673_ (.A(_2367_), - .B(_2371_), + .X(_1013_)); + sky130_fd_sc_hd__o211a_1 _2918_ (.A1(_1006_), + .A2(_1009_), + .B1(_1011_), + .C1(_1012_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0644_)); - sky130_fd_sc_hd__nand2_1 _3674_ (.A(_2372_), - .B(_0644_), + .X(_1014_)); + sky130_fd_sc_hd__inv_2 _2919_ (.A(_1014_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0645_)); - sky130_fd_sc_hd__nand2_1 _3675_ (.A(net131), - .B(_0558_), + .Y(_1015_)); + sky130_fd_sc_hd__a22o_1 _2920_ (.A1(\mandelbrot.alu.in_cr[2] ), + .A2(net32), + .B1(net28), + .B2(net211), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0646_)); - sky130_fd_sc_hd__xor2_1 _3676_ (.A(_0557_), - .B(_0646_), + .X(_1016_)); + sky130_fd_sc_hd__a31o_1 _2921_ (.A1(net26), + .A2(_1013_), + .A3(_1015_), + .B1(_1016_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0647_)); - sky130_fd_sc_hd__xnor2_1 _3677_ (.A(_2352_), - .B(_2369_), + .X(_0235_)); + sky130_fd_sc_hd__nand2_1 _2922_ (.A(\mandelbrot.alu.in_cr[3] ), + .B(\mandelbrot.scaling[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0648_)); - sky130_fd_sc_hd__o22a_1 _3678_ (.A1(net35), - .A2(_0333_), - .B1(_0558_), - .B2(_2427_), + .Y(_1017_)); + sky130_fd_sc_hd__or2_1 _2923_ (.A(\mandelbrot.alu.in_cr[3] ), + .B(\mandelbrot.scaling[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0649_)); - sky130_fd_sc_hd__xor2_1 _3679_ (.A(_0562_), - .B(_0649_), + .X(_1018_)); + sky130_fd_sc_hd__nand2_1 _2924_ (.A(_1011_), + .B(_1015_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0650_)); - sky130_fd_sc_hd__xnor2_1 _3680_ (.A(_0562_), - .B(_0649_), + .Y(_1019_)); + sky130_fd_sc_hd__and3_1 _2925_ (.A(_1017_), + .B(_1018_), + .C(_1019_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0651_)); - sky130_fd_sc_hd__o21ai_1 _3681_ (.A1(net143), - .A2(net137), - .B1(net151), + .X(_1020_)); + sky130_fd_sc_hd__inv_2 _2926_ (.A(_1020_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0652_)); - sky130_fd_sc_hd__a21oi_2 _3682_ (.A1(_0353_), - .A2(_0652_), - .B1(_0558_), + .Y(_1021_)); + sky130_fd_sc_hd__a21o_1 _2927_ (.A1(_1017_), + .A2(_1018_), + .B1(_1019_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0653_)); - sky130_fd_sc_hd__or2_1 _3683_ (.A(net90), - .B(net87), + .X(_1022_)); + sky130_fd_sc_hd__a22o_1 _2928_ (.A1(\mandelbrot.alu.in_cr[3] ), + .A2(net32), + .B1(net28), + .B2(net312), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0654_)); - sky130_fd_sc_hd__and3_1 _3684_ (.A(net95), - .B(_2216_), - .C(_0654_), + .X(_1023_)); + sky130_fd_sc_hd__a31o_1 _2929_ (.A1(net26), + .A2(_1021_), + .A3(_1022_), + .B1(_1023_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0655_)); - sky130_fd_sc_hd__or2_1 _3685_ (.A(net148), - .B(net143), + .X(_0236_)); + sky130_fd_sc_hd__and2_1 _2930_ (.A(\mandelbrot.alu.in_cr[4] ), + .B(\mandelbrot.scaling[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0656_)); - sky130_fd_sc_hd__and3_1 _3686_ (.A(net152), - .B(_2428_), - .C(_0656_), + .X(_1024_)); + sky130_fd_sc_hd__nor2_1 _2931_ (.A(\mandelbrot.alu.in_cr[4] ), + .B(\mandelbrot.scaling[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0657_)); - sky130_fd_sc_hd__and2_1 _3687_ (.A(_0655_), - .B(_0657_), + .Y(_1025_)); + sky130_fd_sc_hd__and2_1 _2932_ (.A(_1017_), + .B(_1021_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0658_)); - sky130_fd_sc_hd__nand2b_1 _3688_ (.A_N(net97), - .B(net93), + .X(_1026_)); + sky130_fd_sc_hd__or3_1 _2933_ (.A(_1024_), + .B(_1025_), + .C(_1026_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0659_)); - sky130_fd_sc_hd__nand2_1 _3689_ (.A(net89), - .B(_0659_), + .X(_1027_)); + sky130_fd_sc_hd__o21ai_1 _2934_ (.A1(_1024_), + .A2(_1025_), + .B1(_1026_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0660_)); - sky130_fd_sc_hd__a21o_1 _3690_ (.A1(_2329_), - .A2(_0660_), - .B1(_2368_), + .Y(_1028_)); + sky130_fd_sc_hd__a22o_1 _2935_ (.A1(\mandelbrot.alu.in_cr[4] ), + .A2(net32), + .B1(net28), + .B2(net313), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0661_)); - sky130_fd_sc_hd__a21bo_1 _3691_ (.A1(_0653_), - .A2(_0658_), - .B1_N(_0661_), + .X(_1029_)); + sky130_fd_sc_hd__a31o_1 _2936_ (.A1(net26), + .A2(_1027_), + .A3(_1028_), + .B1(_1029_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0662_)); - sky130_fd_sc_hd__o221a_1 _3692_ (.A1(_0648_), - .A2(_0650_), - .B1(_0653_), - .B2(_0658_), - .C1(_0662_), + .X(_0237_)); + sky130_fd_sc_hd__o21bai_1 _2937_ (.A1(_1025_), + .A2(_1026_), + .B1_N(_1024_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0663_)); - sky130_fd_sc_hd__a2bb2o_1 _3693_ (.A1_N(_0645_), - .A2_N(_0647_), - .B1(_0648_), - .B2(_0650_), + .Y(_1030_)); + sky130_fd_sc_hd__or2_1 _2938_ (.A(\mandelbrot.alu.in_cr[5] ), + .B(\mandelbrot.scaling[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0664_)); - sky130_fd_sc_hd__o2bb2a_1 _3694_ (.A1_N(_0645_), - .A2_N(_0647_), - .B1(_0663_), - .B2(_0664_), + .X(_1031_)); + sky130_fd_sc_hd__nand2_1 _2939_ (.A(\mandelbrot.alu.in_cr[5] ), + .B(\mandelbrot.scaling[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0665_)); - sky130_fd_sc_hd__a21o_1 _3695_ (.A1(_0642_), - .A2(_0643_), - .B1(_0665_), + .Y(_1032_)); + sky130_fd_sc_hd__nand2_1 _2940_ (.A(_1031_), + .B(_1032_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0666_)); - sky130_fd_sc_hd__o2bb2a_1 _3696_ (.A1_N(_0638_), - .A2_N(_0640_), - .B1(_0642_), - .B2(_0643_), + .Y(_1033_)); + sky130_fd_sc_hd__xnor2_1 _2941_ (.A(_1030_), + .B(_1033_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0667_)); - sky130_fd_sc_hd__a21oi_1 _3697_ (.A1(_0666_), - .A2(_0667_), - .B1(_0641_), + .Y(_1034_)); + sky130_fd_sc_hd__a22o_1 _2942_ (.A1(\mandelbrot.alu.in_cr[5] ), + .A2(net32), + .B1(net26), + .B2(_1034_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0668_)); - sky130_fd_sc_hd__a221o_1 _3698_ (.A1(_0634_), - .A2(_0636_), - .B1(_0666_), - .B2(_0667_), - .C1(_0641_), + .X(_1035_)); + sky130_fd_sc_hd__a21o_1 _2943_ (.A1(net163), + .A2(net28), + .B1(_1035_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0669_)); - sky130_fd_sc_hd__nand2_1 _3699_ (.A(_0637_), - .B(_0669_), + .X(_0238_)); + sky130_fd_sc_hd__nand2_1 _2944_ (.A(\mandelbrot.alu.in_cr[6] ), + .B(\mandelbrot.scaling[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0670_)); - sky130_fd_sc_hd__a31o_1 _3700_ (.A1(_0630_), - .A2(_0637_), - .A3(_0669_), - .B1(_0629_), + .Y(_1036_)); + sky130_fd_sc_hd__or2_1 _2945_ (.A(\mandelbrot.alu.in_cr[6] ), + .B(\mandelbrot.scaling[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0671_)); - sky130_fd_sc_hd__nand2b_1 _3701_ (.A_N(_0622_), - .B(_0624_), + .X(_1037_)); + sky130_fd_sc_hd__nand2_1 _2946_ (.A(_1036_), + .B(_1037_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0672_)); - sky130_fd_sc_hd__xor2_4 _3702_ (.A(_0622_), - .B(_0624_), + .Y(_1038_)); + sky130_fd_sc_hd__a21bo_1 _2947_ (.A1(_1030_), + .A2(_1031_), + .B1_N(_1032_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0673_)); - sky130_fd_sc_hd__nand2_1 _3703_ (.A(_0671_), - .B(_0673_), + .X(_1039_)); + sky130_fd_sc_hd__xnor2_1 _2948_ (.A(_1038_), + .B(_1039_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0674_)); - sky130_fd_sc_hd__a21oi_2 _3704_ (.A1(_0671_), - .A2(_0673_), - .B1(_0625_), + .Y(_1040_)); + sky130_fd_sc_hd__a22o_1 _2949_ (.A1(\mandelbrot.cr_offset[6] ), + .A2(net28), + .B1(net26), + .B2(_1040_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0675_)); - sky130_fd_sc_hd__nor2_1 _3705_ (.A(_0618_), - .B(_0619_), + .X(_1041_)); + sky130_fd_sc_hd__a21o_1 _2950_ (.A1(\mandelbrot.alu.in_cr[6] ), + .A2(net32), + .B1(_1041_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0676_)); - sky130_fd_sc_hd__nand2_1 _3706_ (.A(_0618_), - .B(_0619_), + .X(_0239_)); + sky130_fd_sc_hd__a21bo_1 _2951_ (.A1(_1037_), + .A2(_1039_), + .B1_N(_1036_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0677_)); - sky130_fd_sc_hd__nand2b_1 _3707_ (.A_N(_0676_), - .B(_0677_), + .X(_1042_)); + sky130_fd_sc_hd__a21oi_1 _2952_ (.A1(net33), + .A2(_1042_), + .B1(\mandelbrot.alu.in_cr[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0678_)); - sky130_fd_sc_hd__xnor2_2 _3708_ (.A(_0618_), - .B(_0620_), + .Y(_1043_)); + sky130_fd_sc_hd__a31o_1 _2953_ (.A1(\mandelbrot.alu.in_cr[7] ), + .A2(net33), + .A3(_1042_), + .B1(net31), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0679_)); - sky130_fd_sc_hd__o32ai_4 _3709_ (.A1(_2380_), - .A2(_0617_), - .A3(_0620_), - .B1(_0675_), - .B2(_0679_), + .X(_1044_)); + sky130_fd_sc_hd__a2bb2o_1 _2954_ (.A1_N(_1043_), + .A2_N(_1044_), + .B1(net198), + .B2(net31), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0680_)); - sky130_fd_sc_hd__a21oi_1 _3710_ (.A1(_0616_), - .A2(_0680_), - .B1(_0611_), + .X(_0240_)); + sky130_fd_sc_hd__a31oi_1 _2955_ (.A1(\mandelbrot.alu.in_cr[7] ), + .A2(net33), + .A3(_1042_), + .B1(\mandelbrot.alu.in_cr[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0681_)); - sky130_fd_sc_hd__or2_1 _3711_ (.A(_0604_), - .B(_0605_), + .Y(_1045_)); + sky130_fd_sc_hd__and3_1 _2956_ (.A(\mandelbrot.alu.in_cr[8] ), + .B(\mandelbrot.alu.in_cr[7] ), + .C(_1042_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0682_)); - sky130_fd_sc_hd__nand2_1 _3712_ (.A(_0604_), - .B(_0605_), + .X(_1046_)); + sky130_fd_sc_hd__a21o_1 _2957_ (.A1(net33), + .A2(_1046_), + .B1(net28), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0683_)); - sky130_fd_sc_hd__and2_1 _3713_ (.A(_0682_), - .B(_0683_), + .X(_1047_)); + sky130_fd_sc_hd__a2bb2o_1 _2958_ (.A1_N(_1045_), + .A2_N(_1047_), + .B1(net205), + .B2(net28), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0684_)); - sky130_fd_sc_hd__xnor2_1 _3714_ (.A(_0604_), - .B(_0605_), + .X(_0241_)); + sky130_fd_sc_hd__a211o_1 _2959_ (.A1(net33), + .A2(_1046_), + .B1(net31), + .C1(\mandelbrot.alu.in_cr[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0685_)); - sky130_fd_sc_hd__o21ai_1 _3715_ (.A1(_0681_), - .A2(_0684_), - .B1(_0606_), + .X(_1048_)); + sky130_fd_sc_hd__and2_1 _2960_ (.A(\mandelbrot.alu.in_cr[9] ), + .B(_1046_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0686_)); - sky130_fd_sc_hd__nand2b_1 _3716_ (.A_N(_0601_), - .B(_0602_), + .X(_1049_)); + sky130_fd_sc_hd__inv_2 _2961_ (.A(_1049_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0687_)); - sky130_fd_sc_hd__xor2_2 _3717_ (.A(_0601_), - .B(_0602_), + .Y(_1050_)); + sky130_fd_sc_hd__o221a_1 _2962_ (.A1(net208), + .A2(net11), + .B1(_1002_), + .B2(_1050_), + .C1(_1048_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0688_)); - sky130_fd_sc_hd__a21o_1 _3718_ (.A1(_0686_), - .A2(_0688_), - .B1(_0603_), + .X(_0242_)); + sky130_fd_sc_hd__a21oi_1 _2963_ (.A1(net33), + .A2(_1049_), + .B1(\mandelbrot.alu.in_cr[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0689_)); - sky130_fd_sc_hd__and2b_1 _3719_ (.A_N(_0597_), - .B(_0599_), + .Y(_1051_)); + sky130_fd_sc_hd__and3_1 _2964_ (.A(\mandelbrot.alu.in_cr[10] ), + .B(\mandelbrot.alu.in_cr[9] ), + .C(_1046_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0690_)); - sky130_fd_sc_hd__nand2_1 _3720_ (.A(_0597_), - .B(_0599_), + .X(_1052_)); + sky130_fd_sc_hd__a21o_1 _2965_ (.A1(net33), + .A2(_1052_), + .B1(net29), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0691_)); - sky130_fd_sc_hd__nand2b_1 _3721_ (.A_N(_0600_), - .B(_0691_), + .X(_1053_)); + sky130_fd_sc_hd__a2bb2o_1 _2966_ (.A1_N(_1051_), + .A2_N(_1053_), + .B1(net202), + .B2(net29), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0692_)); - sky130_fd_sc_hd__and2b_1 _3722_ (.A_N(_0600_), - .B(_0691_), + .X(_0243_)); + sky130_fd_sc_hd__nand3_1 _2967_ (.A(\mandelbrot.alu.in_cr[11] ), + .B(net26), + .C(_1052_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0693_)); - sky130_fd_sc_hd__a21o_1 _3723_ (.A1(_0689_), - .A2(_0693_), - .B1(_0600_), + .Y(_1054_)); + sky130_fd_sc_hd__o221a_1 _2968_ (.A1(net282), + .A2(net11), + .B1(_1053_), + .B2(\mandelbrot.alu.in_cr[11] ), + .C1(_1054_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0694_)); - sky130_fd_sc_hd__or2_1 _3724_ (.A(_0594_), - .B(_0595_), + .X(_0244_)); + sky130_fd_sc_hd__and3_1 _2969_ (.A(\mandelbrot.alu.in_cr[12] ), + .B(\mandelbrot.alu.in_cr[11] ), + .C(_1052_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0695_)); - sky130_fd_sc_hd__nand2_1 _3725_ (.A(_0594_), - .B(_0595_), + .X(_1055_)); + sky130_fd_sc_hd__a21o_1 _2970_ (.A1(\mandelbrot.alu.in_cr[11] ), + .A2(_1052_), + .B1(\mandelbrot.alu.in_cr[12] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0696_)); - sky130_fd_sc_hd__nand2_2 _3726_ (.A(_0695_), - .B(_0696_), + .X(_1056_)); + sky130_fd_sc_hd__and3b_1 _2971_ (.A_N(_1055_), + .B(_1056_), + .C(net26), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0697_)); - sky130_fd_sc_hd__a21o_1 _3727_ (.A1(_0694_), - .A2(_0697_), - .B1(_0596_), + .X(_1057_)); + sky130_fd_sc_hd__a221o_1 _2972_ (.A1(\mandelbrot.alu.in_cr[12] ), + .A2(_0927_), + .B1(net29), + .B2(net150), + .C1(_1057_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0698_)); - sky130_fd_sc_hd__nor2_1 _3728_ (.A(_0591_), - .B(_0592_), + .X(_0245_)); + sky130_fd_sc_hd__and2_1 _2973_ (.A(\mandelbrot.alu.in_cr[13] ), + .B(_1055_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0699_)); - sky130_fd_sc_hd__and2_1 _3729_ (.A(_0591_), - .B(_0592_), + .X(_1058_)); + sky130_fd_sc_hd__or2_1 _2974_ (.A(\mandelbrot.alu.in_cr[13] ), + .B(_1055_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0700_)); - sky130_fd_sc_hd__nor2_2 _3730_ (.A(_0699_), - .B(_0700_), + .X(_1059_)); + sky130_fd_sc_hd__and3b_1 _2975_ (.A_N(_1058_), + .B(_1059_), + .C(net26), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0701_)); - sky130_fd_sc_hd__inv_2 _3731_ (.A(_0701_), + .X(_1060_)); + sky130_fd_sc_hd__a221o_1 _2976_ (.A1(\mandelbrot.alu.in_cr[13] ), + .A2(_0927_), + .B1(net29), + .B2(net182), + .C1(_1060_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0702_)); - sky130_fd_sc_hd__a21o_1 _3732_ (.A1(_0698_), - .A2(_0702_), - .B1(_0593_), + .X(_0246_)); + sky130_fd_sc_hd__nand2_1 _2977_ (.A(\mandelbrot.alu.in_cr[14] ), + .B(_1058_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0703_)); - sky130_fd_sc_hd__nor2_1 _3733_ (.A(_2394_), - .B(_0589_), + .Y(_1061_)); + sky130_fd_sc_hd__or2_1 _2978_ (.A(\mandelbrot.alu.in_cr[14] ), + .B(_1058_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0704_)); - sky130_fd_sc_hd__xor2_4 _3734_ (.A(_2394_), - .B(_0589_), + .X(_1062_)); + sky130_fd_sc_hd__and3_1 _2979_ (.A(_1001_), + .B(_1061_), + .C(_1062_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0705_)); - sky130_fd_sc_hd__inv_2 _3735_ (.A(_0705_), + .X(_1063_)); + sky130_fd_sc_hd__a221o_1 _2980_ (.A1(\mandelbrot.alu.in_cr[14] ), + .A2(_0927_), + .B1(net30), + .B2(net160), + .C1(_1063_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0706_)); - sky130_fd_sc_hd__o21ai_1 _3736_ (.A1(_2425_), - .A2(_2469_), - .B1(_2467_), + .X(_0247_)); + sky130_fd_sc_hd__or3_1 _2981_ (.A(\mandelbrot.cr_offset[15] ), + .B(_0922_), + .C(net32), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0707_)); - sky130_fd_sc_hd__a21oi_1 _3737_ (.A1(_2450_), - .A2(_2453_), - .B1(_2448_), + .X(_1064_)); + sky130_fd_sc_hd__xnor2_1 _2982_ (.A(\mandelbrot.alu.in_cr[15] ), + .B(_1061_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0708_)); - sky130_fd_sc_hd__a21o_1 _3738_ (.A1(_1825_), - .A2(_2413_), - .B1(_2451_), + .Y(_1065_)); + sky130_fd_sc_hd__o221a_1 _2983_ (.A1(\mandelbrot.alu.in_cr[15] ), + .A2(_0926_), + .B1(_1002_), + .B2(_1065_), + .C1(_1064_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0709_)); - sky130_fd_sc_hd__mux2_1 _3739_ (.A0(_2433_), - .A1(_2436_), - .S(_2446_), + .X(_0248_)); + sky130_fd_sc_hd__and3_1 _2984_ (.A(net99), + .B(net60), + .C(_1640_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0710_)); - sky130_fd_sc_hd__a21oi_1 _3740_ (.A1(_2441_), - .A2(_2445_), - .B1(_2440_), + .X(_1066_)); + sky130_fd_sc_hd__and3b_1 _2985_ (.A_N(net101), + .B(\mandelbrot.ctr_select[0] ), + .C(\mandelbrot.ctr_select[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0711_)); - sky130_fd_sc_hd__xnor2_1 _3741_ (.A(_2413_), - .B(_0711_), + .X(_1067_)); + sky130_fd_sc_hd__and2b_1 _2986_ (.A_N(\mandelbrot.ctr_select[0] ), + .B(\mandelbrot.ctr_select[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0712_)); - sky130_fd_sc_hd__xnor2_1 _3742_ (.A(_0710_), - .B(_0712_), + .X(_1068_)); + sky130_fd_sc_hd__a32o_1 _2987_ (.A1(\mandelbrot.ctr[6] ), + .A2(net101), + .A3(_1068_), + .B1(_1067_), + .B2(\mandelbrot.ctr[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0713_)); - sky130_fd_sc_hd__xnor2_1 _3743_ (.A(_0709_), - .B(_0713_), + .X(_1069_)); + sky130_fd_sc_hd__and3b_1 _2988_ (.A_N(\mandelbrot.ctr_select[1] ), + .B(net101), + .C(\mandelbrot.ctr_select[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0714_)); - sky130_fd_sc_hd__xnor2_1 _3744_ (.A(_0708_), - .B(_0714_), + .X(_1070_)); + sky130_fd_sc_hd__and2b_1 _2989_ (.A_N(net101), + .B(_1068_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0715_)); - sky130_fd_sc_hd__xnor2_1 _3745_ (.A(_0707_), - .B(_0715_), + .X(_1071_)); + sky130_fd_sc_hd__a221o_1 _2990_ (.A1(\mandelbrot.ctr[5] ), + .A2(_1070_), + .B1(_1071_), + .B2(\mandelbrot.ctr[2] ), + .C1(_1069_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0716_)); - sky130_fd_sc_hd__o21ai_1 _3746_ (.A1(_0156_), - .A2(_0588_), - .B1(_0716_), + .X(_1072_)); + sky130_fd_sc_hd__nor3_1 _2991_ (.A(\mandelbrot.ctr_select[1] ), + .B(net101), + .C(\mandelbrot.ctr_select[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0717_)); - sky130_fd_sc_hd__o2bb2a_1 _3747_ (.A1_N(_1882_), - .A2_N(_1927_), - .B1(_1925_), - .B2(net44), + .Y(_1073_)); + sky130_fd_sc_hd__and3_1 _2992_ (.A(\mandelbrot.ctr_select[1] ), + .B(net101), + .C(\mandelbrot.ctr_select[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0718_)); - sky130_fd_sc_hd__a31o_1 _3748_ (.A1(_1879_), - .A2(_1926_), - .A3(_1929_), - .B1(_0718_), + .X(_1074_)); + sky130_fd_sc_hd__o21a_1 _2993_ (.A1(_1073_), + .A2(_1074_), + .B1(\mandelbrot.ctr[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0719_)); - sky130_fd_sc_hd__o21a_1 _3749_ (.A1(_1879_), - .A2(_1930_), - .B1(_0719_), + .X(_1075_)); + sky130_fd_sc_hd__nor3b_1 _2994_ (.A(\mandelbrot.ctr_select[1] ), + .B(\mandelbrot.ctr_select[0] ), + .C_N(net101), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0720_)); - sky130_fd_sc_hd__o211a_1 _3750_ (.A1(_1893_), - .A2(_1895_), - .B1(_1923_), - .C1(_1932_), + .Y(_1076_)); + sky130_fd_sc_hd__nor3b_1 _2995_ (.A(\mandelbrot.ctr_select[1] ), + .B(net101), + .C_N(\mandelbrot.ctr_select[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0721_)); - sky130_fd_sc_hd__or4_1 _3751_ (.A(_1893_), - .B(_1895_), - .C(_1923_), - .D(_1932_), + .Y(_1077_)); + sky130_fd_sc_hd__a221o_1 _2996_ (.A1(net111), + .A2(_1076_), + .B1(_1077_), + .B2(\mandelbrot.ctr[1] ), + .C1(_1075_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0722_)); - sky130_fd_sc_hd__o21ai_1 _3752_ (.A1(_0720_), - .A2(_0721_), - .B1(_0722_), + .X(_1078_)); + sky130_fd_sc_hd__or2_1 _2997_ (.A(_1072_), + .B(_1078_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0723_)); - sky130_fd_sc_hd__o211a_1 _3753_ (.A1(_1921_), - .A2(_0723_), - .B1(_1940_), - .C1(_1937_), + .X(_1079_)); + sky130_fd_sc_hd__mux2_1 _2998_ (.A0(uio_out[0]), + .A1(_1079_), + .S(_1066_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0724_)); - sky130_fd_sc_hd__a221o_2 _3754_ (.A1(_1981_), - .A2(_2393_), - .B1(_0723_), - .B2(_1921_), - .C1(_0724_), + .X(_0249_)); + sky130_fd_sc_hd__a22o_1 _2999_ (.A1(net111), + .A2(_1067_), + .B1(net88), + .B2(\mandelbrot.ctr[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0725_)); - sky130_fd_sc_hd__nand2b_1 _3755_ (.A_N(_0725_), - .B(_0717_), + .X(_1080_)); + sky130_fd_sc_hd__a221o_1 _3000_ (.A1(\mandelbrot.ctr[6] ), + .A2(_1070_), + .B1(net90), + .B2(\mandelbrot.ctr[1] ), + .C1(_1080_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0726_)); - sky130_fd_sc_hd__nor2_1 _3756_ (.A(_0717_), - .B(_0725_), + .X(_1081_)); + sky130_fd_sc_hd__o21a_1 _3001_ (.A1(_1071_), + .A2(_1074_), + .B1(\mandelbrot.ctr[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0727_)); - sky130_fd_sc_hd__and2_1 _3757_ (.A(_0717_), - .B(_0725_), + .X(_1082_)); + sky130_fd_sc_hd__a32o_1 _3002_ (.A1(\mandelbrot.ctr[7] ), + .A2(\mandelbrot.ctr_select[2] ), + .A3(_1068_), + .B1(net89), + .B2(\mandelbrot.ctr[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0728_)); - sky130_fd_sc_hd__nor2_1 _3758_ (.A(_0727_), - .B(_0728_), + .X(_1083_)); + sky130_fd_sc_hd__or3_1 _3003_ (.A(_1081_), + .B(_1082_), + .C(_1083_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0729_)); - sky130_fd_sc_hd__a211o_1 _3759_ (.A1(_0703_), - .A2(_0706_), - .B1(_0729_), - .C1(_0590_), + .X(_1084_)); + sky130_fd_sc_hd__mux2_1 _3004_ (.A0(uio_out[1]), + .A1(_1084_), + .S(_1066_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0730_)); - sky130_fd_sc_hd__xnor2_1 _3760_ (.A(_0703_), - .B(_0705_), + .X(_0250_)); + sky130_fd_sc_hd__a32o_1 _3005_ (.A1(\mandelbrot.ctr[8] ), + .A2(net101), + .A3(_1068_), + .B1(net90), + .B2(\mandelbrot.ctr[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0731_)); - sky130_fd_sc_hd__xnor2_1 _3761_ (.A(_0698_), - .B(_0701_), + .X(_1085_)); + sky130_fd_sc_hd__a221o_1 _3006_ (.A1(net111), + .A2(_1071_), + .B1(net88), + .B2(\mandelbrot.ctr[3] ), + .C1(_1085_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0732_)); - sky130_fd_sc_hd__xor2_1 _3762_ (.A(_0694_), - .B(_0697_), + .X(_1086_)); + sky130_fd_sc_hd__o21a_1 _3007_ (.A1(_1074_), + .A2(net89), + .B1(\mandelbrot.ctr[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0733_)); - sky130_fd_sc_hd__xnor2_1 _3763_ (.A(_0689_), - .B(_0692_), + .X(_1087_)); + sky130_fd_sc_hd__a221o_1 _3008_ (.A1(\mandelbrot.ctr[5] ), + .A2(_1067_), + .B1(_1070_), + .B2(\mandelbrot.ctr[7] ), + .C1(_1087_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0734_)); - sky130_fd_sc_hd__xor2_1 _3764_ (.A(_0686_), - .B(_0688_), + .X(_1088_)); + sky130_fd_sc_hd__or2_1 _3009_ (.A(_1086_), + .B(_1088_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0735_)); - sky130_fd_sc_hd__xnor2_1 _3765_ (.A(_0681_), - .B(_0685_), + .X(_1089_)); + sky130_fd_sc_hd__mux2_1 _3010_ (.A0(uio_out[2]), + .A1(_1089_), + .S(_1066_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0736_)); - sky130_fd_sc_hd__xnor2_1 _3766_ (.A(_0615_), - .B(_0680_), + .X(_0251_)); + sky130_fd_sc_hd__a22o_1 _3011_ (.A1(\mandelbrot.ctr[7] ), + .A2(net89), + .B1(net88), + .B2(net111), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0737_)); - sky130_fd_sc_hd__xnor2_1 _3767_ (.A(_0675_), - .B(_0678_), + .X(_1090_)); + sky130_fd_sc_hd__a32o_1 _3012_ (.A1(\mandelbrot.ctr[9] ), + .A2(\mandelbrot.ctr_select[1] ), + .A3(\mandelbrot.ctr_select[2] ), + .B1(_1071_), + .B2(\mandelbrot.ctr[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0738_)); - sky130_fd_sc_hd__or2_1 _3768_ (.A(_0671_), - .B(_0673_), + .X(_1091_)); + sky130_fd_sc_hd__a221o_1 _3013_ (.A1(\mandelbrot.ctr[8] ), + .A2(_1070_), + .B1(net90), + .B2(\mandelbrot.ctr[3] ), + .C1(_1091_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0739_)); - sky130_fd_sc_hd__xnor2_2 _3769_ (.A(_0635_), - .B(_0636_), + .X(_1092_)); + sky130_fd_sc_hd__a211o_1 _3014_ (.A1(\mandelbrot.ctr[6] ), + .A2(_1067_), + .B1(_1090_), + .C1(_1092_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0740_)); - sky130_fd_sc_hd__xnor2_1 _3770_ (.A(_0668_), - .B(_0740_), + .X(_1093_)); + sky130_fd_sc_hd__mux2_1 _3015_ (.A0(uio_out[3]), + .A1(_1093_), + .S(_1066_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0741_)); - sky130_fd_sc_hd__xnor2_1 _3771_ (.A(_0633_), - .B(_0670_), + .X(_0252_)); + sky130_fd_sc_hd__nor3_2 _3016_ (.A(_1427_), + .B(_1625_), + .C(_1627_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0742_)); - sky130_fd_sc_hd__a211o_1 _3772_ (.A1(_0674_), - .A2(_0739_), - .B1(_0741_), - .C1(_0742_), + .Y(_1094_)); + sky130_fd_sc_hd__inv_2 _3017_ (.A(net25), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0743_)); - sky130_fd_sc_hd__or4_1 _3773_ (.A(_0736_), - .B(_0737_), - .C(_0738_), - .D(_0743_), + .Y(_1095_)); + sky130_fd_sc_hd__mux2_1 _3018_ (.A0(net43), + .A1(net24), + .S(_1397_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0744_)); - sky130_fd_sc_hd__or4_1 _3774_ (.A(_0733_), - .B(_0734_), - .C(_0735_), - .D(_0744_), + .X(_0253_)); + sky130_fd_sc_hd__nand2_1 _3019_ (.A(\mandelbrot.ctr[0] ), + .B(\mandelbrot.ctr[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0745_)); - sky130_fd_sc_hd__o31a_1 _3775_ (.A1(_0731_), - .A2(_0732_), - .A3(_0745_), - .B1(_0730_), + .Y(_1096_)); + sky130_fd_sc_hd__or2_1 _3020_ (.A(\mandelbrot.ctr[0] ), + .B(\mandelbrot.ctr[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0746_)); - sky130_fd_sc_hd__xnor2_1 _3776_ (.A(\mandelbrot.max_ctr[0] ), - .B(\mandelbrot.ctr[0] ), + .X(_1097_)); + sky130_fd_sc_hd__a32o_1 _3021_ (.A1(net24), + .A2(_1096_), + .A3(_1097_), + .B1(net45), + .B2(\mandelbrot.ctr[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0747_)); - sky130_fd_sc_hd__xnor2_1 _3777_ (.A(\mandelbrot.max_ctr[1] ), - .B(\mandelbrot.ctr[1] ), + .X(_0254_)); + sky130_fd_sc_hd__a21o_1 _3022_ (.A1(\mandelbrot.ctr[0] ), + .A2(\mandelbrot.ctr[1] ), + .B1(\mandelbrot.ctr[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0748_)); - sky130_fd_sc_hd__o221a_1 _3778_ (.A1(_1827_), - .A2(\mandelbrot.ctr[3] ), - .B1(\mandelbrot.max_ctr[5] ), - .B2(_1829_), - .C1(_0748_), + .X(_1098_)); + sky130_fd_sc_hd__nand3_1 _3023_ (.A(\mandelbrot.ctr[0] ), + .B(\mandelbrot.ctr[1] ), + .C(\mandelbrot.ctr[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0749_)); - sky130_fd_sc_hd__nand2_1 _3779_ (.A(\mandelbrot.max_ctr[2] ), - .B(\mandelbrot.ctr[2] ), + .Y(_1099_)); + sky130_fd_sc_hd__a32o_1 _3024_ (.A1(net24), + .A2(_1098_), + .A3(_1099_), + .B1(net43), + .B2(net297), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0750_)); - sky130_fd_sc_hd__or2_1 _3780_ (.A(\mandelbrot.max_ctr[2] ), - .B(\mandelbrot.ctr[2] ), + .X(_0255_)); + sky130_fd_sc_hd__and4_1 _3025_ (.A(\mandelbrot.ctr[0] ), + .B(\mandelbrot.ctr[1] ), + .C(\mandelbrot.ctr[2] ), + .D(\mandelbrot.ctr[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0751_)); - sky130_fd_sc_hd__xor2_1 _3781_ (.A(\mandelbrot.max_ctr[4] ), - .B(\mandelbrot.ctr[4] ), + .X(_1100_)); + sky130_fd_sc_hd__inv_2 _3026_ (.A(_1100_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0752_)); - sky130_fd_sc_hd__xor2_1 _3782_ (.A(\mandelbrot.max_ctr[6] ), - .B(\mandelbrot.ctr[6] ), + .Y(_1101_)); + sky130_fd_sc_hd__a31o_1 _3027_ (.A1(\mandelbrot.ctr[0] ), + .A2(\mandelbrot.ctr[1] ), + .A3(\mandelbrot.ctr[2] ), + .B1(\mandelbrot.ctr[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0753_)); - sky130_fd_sc_hd__a221o_1 _3783_ (.A1(_1827_), - .A2(\mandelbrot.ctr[3] ), - .B1(\mandelbrot.max_ctr[5] ), - .B2(_1829_), - .C1(_0753_), + .X(_1102_)); + sky130_fd_sc_hd__a32o_1 _3028_ (.A1(net24), + .A2(_1101_), + .A3(_1102_), + .B1(net44), + .B2(net284), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0754_)); - sky130_fd_sc_hd__a211oi_1 _3784_ (.A1(_0750_), - .A2(_0751_), - .B1(_0752_), - .C1(_0754_), + .X(_0256_)); + sky130_fd_sc_hd__or2_1 _3029_ (.A(net111), + .B(_1100_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0755_)); - sky130_fd_sc_hd__a31o_1 _3785_ (.A1(_0747_), - .A2(_0749_), - .A3(_0755_), - .B1(\mandelbrot.overflowed ), + .X(_1103_)); + sky130_fd_sc_hd__nand2_1 _3030_ (.A(net111), + .B(_1100_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0756_)); - sky130_fd_sc_hd__nor3_1 _3786_ (.A(_0727_), - .B(_0746_), - .C(_0756_), + .Y(_1104_)); + sky130_fd_sc_hd__a32o_1 _3031_ (.A1(net24), + .A2(_1103_), + .A3(_1104_), + .B1(net43), + .B2(net111), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0757_)); - sky130_fd_sc_hd__nor4_1 _3787_ (.A(net98), - .B(_0727_), - .C(_0746_), - .D(_0756_), + .X(_0257_)); + sky130_fd_sc_hd__and3_1 _3032_ (.A(\mandelbrot.ctr[4] ), + .B(\mandelbrot.ctr[5] ), + .C(_1100_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0758_)); - sky130_fd_sc_hd__nor2_1 _3788_ (.A(_0638_), - .B(_0639_), + .X(_1105_)); + sky130_fd_sc_hd__inv_2 _3033_ (.A(_1105_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0759_)); - sky130_fd_sc_hd__nand2_1 _3789_ (.A(_0638_), - .B(_0639_), + .Y(_1106_)); + sky130_fd_sc_hd__a21o_1 _3034_ (.A1(net111), + .A2(_1100_), + .B1(\mandelbrot.ctr[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0760_)); - sky130_fd_sc_hd__nand2_1 _3790_ (.A(_0653_), - .B(_0661_), + .X(_1107_)); + sky130_fd_sc_hd__a32o_1 _3035_ (.A1(net24), + .A2(_1106_), + .A3(_1107_), + .B1(net43), + .B2(net290), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0761_)); - sky130_fd_sc_hd__nand2_1 _3791_ (.A(net97), - .B(net151), + .X(_0258_)); + sky130_fd_sc_hd__or2_1 _3036_ (.A(\mandelbrot.ctr[6] ), + .B(_1105_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0762_)); - sky130_fd_sc_hd__a31o_1 _3792_ (.A1(_2434_), - .A2(_0659_), - .A3(_0762_), - .B1(_0657_), + .X(_1108_)); + sky130_fd_sc_hd__nand2_1 _3037_ (.A(\mandelbrot.ctr[6] ), + .B(_1105_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0763_)); - sky130_fd_sc_hd__o21ai_1 _3793_ (.A1(_0653_), - .A2(_0661_), - .B1(_0763_), + .Y(_1109_)); + sky130_fd_sc_hd__a32o_1 _3038_ (.A1(net24), + .A2(_1108_), + .A3(_1109_), + .B1(net43), + .B2(net293), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0764_)); - sky130_fd_sc_hd__o221a_1 _3794_ (.A1(_0648_), - .A2(_0651_), - .B1(_0655_), - .B2(_0764_), - .C1(_0761_), + .X(_0259_)); + sky130_fd_sc_hd__nor2_1 _3039_ (.A(_1417_), + .B(_1109_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0765_)); - sky130_fd_sc_hd__a21oi_1 _3795_ (.A1(_0648_), - .A2(_0651_), - .B1(_0765_), + .Y(_1110_)); + sky130_fd_sc_hd__inv_2 _3040_ (.A(_1110_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0766_)); - sky130_fd_sc_hd__a21bo_1 _3796_ (.A1(_0645_), - .A2(_0766_), - .B1_N(_0647_), + .Y(_1111_)); + sky130_fd_sc_hd__nand2_1 _3041_ (.A(_1417_), + .B(_1109_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0767_)); - sky130_fd_sc_hd__o21ai_1 _3797_ (.A1(_0645_), - .A2(_0766_), - .B1(_0767_), + .Y(_1112_)); + sky130_fd_sc_hd__a32o_1 _3042_ (.A1(net25), + .A2(_1111_), + .A3(_1112_), + .B1(net43), + .B2(\mandelbrot.ctr[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0768_)); - sky130_fd_sc_hd__or2_1 _3798_ (.A(_0642_), - .B(_0768_), + .X(_0260_)); + sky130_fd_sc_hd__nand2_1 _3043_ (.A(\mandelbrot.ctr[8] ), + .B(_1110_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0769_)); - sky130_fd_sc_hd__a21bo_1 _3799_ (.A1(_0642_), - .A2(_0768_), - .B1_N(_0643_), + .Y(_1113_)); + sky130_fd_sc_hd__a21o_1 _3044_ (.A1(net25), + .A2(_1113_), + .B1(net43), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0770_)); - sky130_fd_sc_hd__a31oi_1 _3800_ (.A1(_0760_), - .A2(_0769_), - .A3(_0770_), - .B1(_0759_), + .X(_1114_)); + sky130_fd_sc_hd__or2_1 _3045_ (.A(\mandelbrot.ctr[8] ), + .B(_1110_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0771_)); - sky130_fd_sc_hd__a31o_1 _3801_ (.A1(_0760_), - .A2(_0769_), - .A3(_0770_), - .B1(_0759_), + .X(_1115_)); + sky130_fd_sc_hd__a32o_1 _3046_ (.A1(net25), + .A2(_1113_), + .A3(_1115_), + .B1(net43), + .B2(\mandelbrot.ctr[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0772_)); - sky130_fd_sc_hd__o22ai_2 _3802_ (.A1(_0635_), - .A2(_0636_), - .B1(_0740_), - .B2(_0771_), + .X(_0261_)); + sky130_fd_sc_hd__nor2_1 _3047_ (.A(\mandelbrot.ctr[9] ), + .B(_1113_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0773_)); - sky130_fd_sc_hd__a21oi_1 _3803_ (.A1(_0632_), - .A2(_0773_), - .B1(_0631_), + .Y(_1116_)); + sky130_fd_sc_hd__a22o_1 _3048_ (.A1(\mandelbrot.ctr[9] ), + .A2(_1114_), + .B1(_1116_), + .B2(net24), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0774_)); - sky130_fd_sc_hd__a21o_1 _3804_ (.A1(_0632_), - .A2(_0773_), - .B1(_0631_), + .X(_0262_)); + sky130_fd_sc_hd__and2_1 _3049_ (.A(net99), + .B(net29), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0775_)); - sky130_fd_sc_hd__o21ai_2 _3805_ (.A1(_0673_), - .A2(_0774_), - .B1(_0672_), + .X(_1117_)); + sky130_fd_sc_hd__mux2_1 _3050_ (.A0(_1117_), + .A1(net11), + .S(net305), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0776_)); - sky130_fd_sc_hd__a21oi_1 _3806_ (.A1(_0679_), - .A2(_0776_), - .B1(_0676_), + .X(_0263_)); + sky130_fd_sc_hd__a21o_1 _3051_ (.A1(\mandelbrot.y[0] ), + .A2(net29), + .B1(\mandelbrot.y[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0777_)); - sky130_fd_sc_hd__a211o_1 _3807_ (.A1(_0679_), - .A2(_0776_), - .B1(_0612_), - .C1(_0676_), + .X(_1118_)); + sky130_fd_sc_hd__nand2_1 _3052_ (.A(\mandelbrot.y[1] ), + .B(\mandelbrot.y[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0778_)); - sky130_fd_sc_hd__nand2_1 _3808_ (.A(_0614_), - .B(_0778_), + .Y(_1119_)); + sky130_fd_sc_hd__and3_1 _3053_ (.A(\mandelbrot.y[1] ), + .B(\mandelbrot.y[0] ), + .C(net29), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0779_)); - sky130_fd_sc_hd__o21ai_1 _3809_ (.A1(_0685_), - .A2(_0779_), - .B1(_0682_), + .X(_1120_)); + sky130_fd_sc_hd__nand2_1 _3054_ (.A(net107), + .B(_0924_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0780_)); - sky130_fd_sc_hd__nor2_1 _3810_ (.A(_0685_), - .B(_0688_), + .Y(_1121_)); + sky130_fd_sc_hd__o211a_1 _3055_ (.A1(net11), + .A2(_1119_), + .B1(_1121_), + .C1(_1118_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0781_)); - sky130_fd_sc_hd__o21ai_1 _3811_ (.A1(_0682_), - .A2(_0688_), - .B1(_0687_), + .X(_0264_)); + sky130_fd_sc_hd__and3_1 _3056_ (.A(\mandelbrot.y[1] ), + .B(\mandelbrot.y[0] ), + .C(\mandelbrot.y[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0782_)); - sky130_fd_sc_hd__a31o_1 _3812_ (.A1(_0614_), - .A2(_0778_), - .A3(_0781_), - .B1(_0782_), + .X(_1122_)); + sky130_fd_sc_hd__or2_1 _3057_ (.A(net107), + .B(_1122_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0783_)); - sky130_fd_sc_hd__a21oi_1 _3813_ (.A1(_0692_), - .A2(_0783_), - .B1(_0690_), + .X(_1123_)); + sky130_fd_sc_hd__o2bb2a_1 _3058_ (.A1_N(net30), + .A2_N(_1123_), + .B1(_1120_), + .B2(net196), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0784_)); - sky130_fd_sc_hd__o21ai_2 _3814_ (.A1(_0697_), - .A2(_0784_), - .B1(_0695_), + .X(_0265_)); + sky130_fd_sc_hd__a21oi_1 _3059_ (.A1(net30), + .A2(_1123_), + .B1(_1400_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0785_)); - sky130_fd_sc_hd__a21oi_1 _3815_ (.A1(_0701_), - .A2(_0785_), - .B1(_0699_), + .Y(_1124_)); + sky130_fd_sc_hd__a31o_1 _3060_ (.A1(_1400_), + .A2(_1117_), + .A3(_1122_), + .B1(_1124_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0786_)); - sky130_fd_sc_hd__a21o_1 _3816_ (.A1(_0699_), - .A2(_0705_), - .B1(_0704_), + .X(_0266_)); + sky130_fd_sc_hd__and3_1 _3061_ (.A(\mandelbrot.y[3] ), + .B(\mandelbrot.y[4] ), + .C(_1122_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0787_)); - sky130_fd_sc_hd__a31oi_2 _3817_ (.A1(_0701_), - .A2(_0705_), - .A3(_0785_), - .B1(_0787_), + .X(_1125_)); + sky130_fd_sc_hd__inv_2 _3062_ (.A(_1125_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0788_)); - sky130_fd_sc_hd__o21a_1 _3818_ (.A1(_0729_), - .A2(_0788_), - .B1(_0726_), + .Y(_1126_)); + sky130_fd_sc_hd__a21o_1 _3063_ (.A1(\mandelbrot.y[3] ), + .A2(_1122_), + .B1(\mandelbrot.y[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0789_)); - sky130_fd_sc_hd__nor2_1 _3819_ (.A(\mandelbrot.alu.in_cr[10] ), - .B(_0789_), + .X(_1127_)); + sky130_fd_sc_hd__a32o_1 _3064_ (.A1(_1117_), + .A2(_1126_), + .A3(_1127_), + .B1(net11), + .B2(net193), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0790_)); - sky130_fd_sc_hd__xor2_1 _3820_ (.A(_0729_), - .B(_0788_), + .X(_0267_)); + sky130_fd_sc_hd__nand2_1 _3065_ (.A(\mandelbrot.y[5] ), + .B(_1125_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0791_)); - sky130_fd_sc_hd__xnor2_1 _3821_ (.A(\mandelbrot.alu.in_cr[10] ), - .B(_0791_), + .Y(_1128_)); + sky130_fd_sc_hd__or2_1 _3066_ (.A(\mandelbrot.y[5] ), + .B(_1125_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0792_)); - sky130_fd_sc_hd__xnor2_1 _3822_ (.A(_0702_), - .B(_0785_), + .X(_1129_)); + sky130_fd_sc_hd__a32o_1 _3067_ (.A1(_1117_), + .A2(_1128_), + .A3(_1129_), + .B1(net11), + .B2(net283), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0793_)); - sky130_fd_sc_hd__nor2_1 _3823_ (.A(\mandelbrot.alu.in_cr[9] ), - .B(_0793_), + .X(_0268_)); + sky130_fd_sc_hd__and3_1 _3068_ (.A(\mandelbrot.y[5] ), + .B(\mandelbrot.y[6] ), + .C(_1125_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0794_)); - sky130_fd_sc_hd__nand2_1 _3824_ (.A(\mandelbrot.alu.in_cr[9] ), - .B(_0793_), + .X(_1130_)); + sky130_fd_sc_hd__inv_2 _3069_ (.A(_1130_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0795_)); - sky130_fd_sc_hd__xor2_1 _3825_ (.A(_0697_), - .B(_0784_), + .Y(_1131_)); + sky130_fd_sc_hd__a21o_1 _3070_ (.A1(\mandelbrot.y[5] ), + .A2(_1125_), + .B1(\mandelbrot.y[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0796_)); - sky130_fd_sc_hd__nand2_1 _3826_ (.A(\mandelbrot.alu.in_cr[8] ), - .B(_0796_), + .X(_1132_)); + sky130_fd_sc_hd__a32o_1 _3071_ (.A1(_1117_), + .A2(_1131_), + .A3(_1132_), + .B1(net11), + .B2(net215), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0797_)); - sky130_fd_sc_hd__xnor2_1 _3827_ (.A(_0693_), - .B(_0783_), + .X(_0269_)); + sky130_fd_sc_hd__and2_1 _3072_ (.A(\mandelbrot.y[7] ), + .B(_1130_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0798_)); - sky130_fd_sc_hd__and2_1 _3828_ (.A(\mandelbrot.alu.in_cr[7] ), - .B(_0798_), + .X(_1133_)); + sky130_fd_sc_hd__nor2_1 _3073_ (.A(net107), + .B(_1133_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0799_)); - sky130_fd_sc_hd__xnor2_1 _3829_ (.A(_0688_), - .B(_0780_), + .Y(_1134_)); + sky130_fd_sc_hd__a21o_1 _3074_ (.A1(net29), + .A2(_1130_), + .B1(net266), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0800_)); - sky130_fd_sc_hd__and2_1 _3830_ (.A(\mandelbrot.alu.in_cr[6] ), - .B(_0800_), + .X(_1135_)); + sky130_fd_sc_hd__o21a_1 _3075_ (.A1(net11), + .A2(_1134_), + .B1(_1135_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0801_)); - sky130_fd_sc_hd__or2_1 _3831_ (.A(\mandelbrot.alu.in_cr[6] ), - .B(_0800_), + .X(_0270_)); + sky130_fd_sc_hd__o31a_1 _3076_ (.A1(_0922_), + .A2(_0927_), + .A3(_1134_), + .B1(net246), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0802_)); - sky130_fd_sc_hd__nand2b_1 _3832_ (.A_N(_0801_), - .B(_0802_), + .X(_1136_)); + sky130_fd_sc_hd__a31o_1 _3077_ (.A1(_1401_), + .A2(_1117_), + .A3(_1133_), + .B1(_1136_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0803_)); - sky130_fd_sc_hd__xnor2_1 _3833_ (.A(_0684_), - .B(_0779_), + .X(_0271_)); + sky130_fd_sc_hd__or3b_1 _3078_ (.A(\mandelbrot.y[7] ), + .B(\mandelbrot.y[6] ), + .C_N(\mandelbrot.y[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0804_)); - sky130_fd_sc_hd__and2_1 _3834_ (.A(\mandelbrot.alu.in_cr[5] ), - .B(_0804_), + .X(_1137_)); + sky130_fd_sc_hd__or4_1 _3079_ (.A(net107), + .B(_1400_), + .C(\mandelbrot.y[2] ), + .D(\mandelbrot.y[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0805_)); - sky130_fd_sc_hd__xnor2_1 _3835_ (.A(_0615_), - .B(_0777_), + .X(_1138_)); + sky130_fd_sc_hd__nor4_1 _3080_ (.A(_1401_), + .B(_1119_), + .C(_1137_), + .D(_1138_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0806_)); - sky130_fd_sc_hd__and2_1 _3836_ (.A(\mandelbrot.alu.in_cr[4] ), - .B(_0806_), + .Y(_1139_)); + sky130_fd_sc_hd__a32o_1 _3081_ (.A1(_1640_), + .A2(_0921_), + .A3(_1139_), + .B1(_1638_), + .B2(\mandelbrot.finished ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0807_)); - sky130_fd_sc_hd__nand2_1 _3837_ (.A(\mandelbrot.alu.in_cr[4] ), - .B(_0806_), + .X(_0272_)); + sky130_fd_sc_hd__mux2_1 _3082_ (.A0(net26), + .A1(net32), + .S(\mandelbrot.x[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0808_)); - sky130_fd_sc_hd__nor2_1 _3838_ (.A(\mandelbrot.alu.in_cr[4] ), - .B(_0806_), + .X(_0273_)); + sky130_fd_sc_hd__a31o_1 _3083_ (.A1(\mandelbrot.x[0] ), + .A2(_1625_), + .A3(_0924_), + .B1(\mandelbrot.x[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0809_)); - sky130_fd_sc_hd__nor2_1 _3839_ (.A(_0807_), - .B(_0809_), + .X(_1140_)); + sky130_fd_sc_hd__o211a_1 _3084_ (.A1(_0918_), + .A2(net32), + .B1(net11), + .C1(_1140_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0810_)); - sky130_fd_sc_hd__xnor2_1 _3840_ (.A(_0678_), - .B(_0776_), + .X(_0274_)); + sky130_fd_sc_hd__o21ba_1 _3085_ (.A1(_0918_), + .A2(net32), + .B1_N(\mandelbrot.x[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0811_)); - sky130_fd_sc_hd__and2_1 _3841_ (.A(\mandelbrot.alu.in_cr[3] ), - .B(_0811_), + .X(_1141_)); + sky130_fd_sc_hd__and4_1 _3086_ (.A(\mandelbrot.x[1] ), + .B(\mandelbrot.x[0] ), + .C(\mandelbrot.x[2] ), + .D(_0926_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0812_)); - sky130_fd_sc_hd__or2_1 _3842_ (.A(\mandelbrot.alu.in_cr[3] ), - .B(_0811_), + .X(_1142_)); + sky130_fd_sc_hd__nor3_1 _3087_ (.A(net29), + .B(_1141_), + .C(_1142_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0813_)); - sky130_fd_sc_hd__nand2b_1 _3843_ (.A_N(_0812_), - .B(_0813_), + .Y(_0275_)); + sky130_fd_sc_hd__nand2_1 _3088_ (.A(_0919_), + .B(_0926_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0814_)); - sky130_fd_sc_hd__xnor2_1 _3844_ (.A(_0673_), - .B(_0775_), + .Y(_1143_)); + sky130_fd_sc_hd__o211a_1 _3089_ (.A1(net173), + .A2(_1142_), + .B1(_1143_), + .C1(_1121_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0815_)); - sky130_fd_sc_hd__and2_1 _3845_ (.A(\mandelbrot.alu.in_cr[2] ), - .B(_0815_), + .X(_0276_)); + sky130_fd_sc_hd__a21oi_1 _3090_ (.A1(_0919_), + .A2(_0926_), + .B1(net220), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0816_)); - sky130_fd_sc_hd__or2_1 _3846_ (.A(\mandelbrot.alu.in_cr[2] ), - .B(_0815_), + .Y(_1144_)); + sky130_fd_sc_hd__and4_2 _3091_ (.A(\mandelbrot.x[4] ), + .B(_1625_), + .C(_0919_), + .D(_0924_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0817_)); - sky130_fd_sc_hd__nand2b_1 _3847_ (.A_N(_0816_), - .B(_0817_), + .X(_1145_)); + sky130_fd_sc_hd__nor3_1 _3092_ (.A(net30), + .B(_1144_), + .C(_1145_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0818_)); - sky130_fd_sc_hd__xnor2_1 _3848_ (.A(_0633_), - .B(_0773_), + .Y(_0277_)); + sky130_fd_sc_hd__a21oi_1 _3093_ (.A1(\mandelbrot.x[5] ), + .A2(_1145_), + .B1(net30), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0819_)); - sky130_fd_sc_hd__nand2_1 _3849_ (.A(\mandelbrot.alu.in_cr[1] ), - .B(_0819_), + .Y(_1146_)); + sky130_fd_sc_hd__o21a_1 _3094_ (.A1(\mandelbrot.x[5] ), + .A2(_1145_), + .B1(_1146_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0820_)); - sky130_fd_sc_hd__xnor2_1 _3850_ (.A(_0740_), - .B(_0772_), + .X(_0278_)); + sky130_fd_sc_hd__a21oi_1 _3095_ (.A1(\mandelbrot.x[5] ), + .A2(_1145_), + .B1(net302), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0821_)); - sky130_fd_sc_hd__nand2_1 _3851_ (.A(\mandelbrot.alu.in_cr[0] ), - .B(_0821_), + .Y(_1147_)); + sky130_fd_sc_hd__a311oi_1 _3096_ (.A1(\mandelbrot.x[5] ), + .A2(net302), + .A3(_1145_), + .B1(_1147_), + .C1(net30), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0822_)); - sky130_fd_sc_hd__xnor2_1 _3852_ (.A(\mandelbrot.alu.in_cr[1] ), - .B(_0819_), + .Y(_0279_)); + sky130_fd_sc_hd__a31o_1 _3097_ (.A1(\mandelbrot.x[5] ), + .A2(\mandelbrot.x[6] ), + .A3(_1145_), + .B1(\mandelbrot.x[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0823_)); - sky130_fd_sc_hd__or2_1 _3853_ (.A(_0822_), - .B(_0823_), + .X(_1148_)); + sky130_fd_sc_hd__nand4_1 _3098_ (.A(\mandelbrot.x[5] ), + .B(\mandelbrot.x[6] ), + .C(\mandelbrot.x[7] ), + .D(_1145_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0824_)); - sky130_fd_sc_hd__o21ai_1 _3854_ (.A1(_0822_), - .A2(_0823_), - .B1(_0820_), + .Y(_1149_)); + sky130_fd_sc_hd__and3_1 _3099_ (.A(_0929_), + .B(_1148_), + .C(_1149_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0825_)); - sky130_fd_sc_hd__a21o_1 _3855_ (.A1(_0817_), - .A2(_0825_), - .B1(_0816_), + .X(_0280_)); + sky130_fd_sc_hd__a41o_1 _3100_ (.A1(\mandelbrot.x[5] ), + .A2(\mandelbrot.x[6] ), + .A3(\mandelbrot.x[7] ), + .A4(_1145_), + .B1(\mandelbrot.x[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0826_)); - sky130_fd_sc_hd__a21oi_1 _3856_ (.A1(_0813_), - .A2(_0826_), - .B1(_0812_), + .X(_1150_)); + sky130_fd_sc_hd__o211a_1 _3101_ (.A1(_1398_), + .A2(_1149_), + .B1(_1150_), + .C1(_0929_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0827_)); - sky130_fd_sc_hd__o21ai_1 _3857_ (.A1(_0809_), - .A2(_0827_), - .B1(_0808_), + .X(_0281_)); + sky130_fd_sc_hd__a22o_1 _3102_ (.A1(net110), + .A2(net42), + .B1(net23), + .B2(_1690_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0828_)); - sky130_fd_sc_hd__or2_1 _3858_ (.A(\mandelbrot.alu.in_cr[5] ), - .B(_0804_), + .X(_0282_)); + sky130_fd_sc_hd__a22o_1 _3103_ (.A1(\mandelbrot.alu.in_zi[1] ), + .A2(net42), + .B1(net23), + .B2(_1695_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0829_)); - sky130_fd_sc_hd__nand2b_1 _3859_ (.A_N(_0805_), - .B(_0829_), + .X(_0283_)); + sky130_fd_sc_hd__a22o_1 _3104_ (.A1(net257), + .A2(net42), + .B1(net23), + .B2(_1701_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0830_)); - sky130_fd_sc_hd__a21o_1 _3860_ (.A1(_0828_), - .A2(_0829_), - .B1(_0805_), + .X(_0284_)); + sky130_fd_sc_hd__a22o_1 _3105_ (.A1(net253), + .A2(net41), + .B1(net22), + .B2(_1707_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0831_)); - sky130_fd_sc_hd__a21o_1 _3861_ (.A1(_0802_), - .A2(_0831_), - .B1(_0801_), + .X(_0285_)); + sky130_fd_sc_hd__a22o_1 _3106_ (.A1(\mandelbrot.alu.in_zi[4] ), + .A2(net41), + .B1(net22), + .B2(_1713_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0832_)); - sky130_fd_sc_hd__or2_1 _3862_ (.A(\mandelbrot.alu.in_cr[7] ), - .B(_0798_), + .X(_0286_)); + sky130_fd_sc_hd__a22o_1 _3107_ (.A1(net267), + .A2(net41), + .B1(net22), + .B2(_1720_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0833_)); - sky130_fd_sc_hd__nand2b_1 _3863_ (.A_N(_0799_), - .B(_0833_), + .X(_0287_)); + sky130_fd_sc_hd__a22o_1 _3108_ (.A1(net278), + .A2(net41), + .B1(net22), + .B2(_0292_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0834_)); - sky130_fd_sc_hd__a21o_1 _3864_ (.A1(_0832_), - .A2(_0833_), - .B1(_0799_), + .X(_0288_)); + sky130_fd_sc_hd__a22o_1 _3109_ (.A1(\mandelbrot.alu.in_zi[7] ), + .A2(net41), + .B1(net22), + .B2(_0298_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0835_)); - sky130_fd_sc_hd__xnor2_1 _3865_ (.A(\mandelbrot.alu.in_cr[8] ), - .B(_0796_), + .X(_0289_)); + sky130_fd_sc_hd__a22o_1 _3110_ (.A1(net248), + .A2(net41), + .B1(net22), + .B2(_0304_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0836_)); - sky130_fd_sc_hd__inv_2 _3866_ (.A(_0836_), + .X(_0290_)); + sky130_fd_sc_hd__a22o_1 _3111_ (.A1(net250), + .A2(net41), + .B1(net22), + .B2(_0310_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0837_)); - sky130_fd_sc_hd__a21bo_1 _3867_ (.A1(_0835_), - .A2(_0837_), - .B1_N(_0797_), + .X(_0291_)); + sky130_fd_sc_hd__a22o_1 _3112_ (.A1(\mandelbrot.alu.in_zi[10] ), + .A2(net41), + .B1(net22), + .B2(_0316_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0838_)); - sky130_fd_sc_hd__and2b_1 _3868_ (.A_N(_0794_), - .B(_0795_), + .X(_0020_)); + sky130_fd_sc_hd__a22o_1 _3113_ (.A1(net275), + .A2(net41), + .B1(net22), + .B2(_0322_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0839_)); - sky130_fd_sc_hd__o21ai_1 _3869_ (.A1(_0794_), - .A2(_0797_), - .B1(_0795_), + .X(_0021_)); + sky130_fd_sc_hd__a22o_1 _3114_ (.A1(net279), + .A2(net41), + .B1(net23), + .B2(_0330_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0840_)); - sky130_fd_sc_hd__a31o_1 _3870_ (.A1(_0835_), - .A2(_0837_), - .A3(_0839_), - .B1(_0840_), + .X(_0022_)); + sky130_fd_sc_hd__a22o_1 _3115_ (.A1(\mandelbrot.alu.in_zi[13] ), + .A2(net42), + .B1(net22), + .B2(_0336_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0841_)); - sky130_fd_sc_hd__xnor2_2 _3871_ (.A(_0705_), - .B(_0786_), + .X(_0023_)); + sky130_fd_sc_hd__a22o_1 _3116_ (.A1(net276), + .A2(net42), + .B1(net23), + .B2(_0342_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0842_)); - sky130_fd_sc_hd__and2_1 _3872_ (.A(\mandelbrot.alu.in_cr[10] ), - .B(_0842_), + .X(_0024_)); + sky130_fd_sc_hd__a22o_1 _3117_ (.A1(net269), + .A2(net42), + .B1(net23), + .B2(_0354_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0843_)); - sky130_fd_sc_hd__xnor2_2 _3873_ (.A(\mandelbrot.alu.in_cr[10] ), - .B(_0842_), + .X(_0025_)); + sky130_fd_sc_hd__and2b_1 _3118_ (.A_N(\mandelbrot.alu.m2[29] ), + .B(\mandelbrot.alu.m1[29] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0844_)); - sky130_fd_sc_hd__inv_2 _3874_ (.A(_0844_), + .X(_1151_)); + sky130_fd_sc_hd__a21o_1 _3119_ (.A1(_1573_), + .A2(_0759_), + .B1(_1151_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0845_)); - sky130_fd_sc_hd__nor2_1 _3875_ (.A(_0792_), - .B(_0844_), + .X(_1152_)); + sky130_fd_sc_hd__and2b_1 _3120_ (.A_N(\mandelbrot.alu.m2[30] ), + .B(\mandelbrot.alu.m1[30] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0846_)); - sky130_fd_sc_hd__o21a_1 _3876_ (.A1(_0791_), - .A2(_0842_), - .B1(\mandelbrot.alu.in_cr[10] ), + .X(_1153_)); + sky130_fd_sc_hd__a21o_1 _3121_ (.A1(_1595_), + .A2(_1152_), + .B1(_1153_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0847_)); - sky130_fd_sc_hd__a21o_1 _3877_ (.A1(_0841_), - .A2(_0846_), - .B1(_0847_), + .X(_1154_)); + sky130_fd_sc_hd__xnor2_1 _3122_ (.A(_1600_), + .B(_1154_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0848_)); - sky130_fd_sc_hd__nand2_1 _3878_ (.A(\mandelbrot.alu.in_cr[10] ), - .B(_0789_), + .Y(_1155_)); + sky130_fd_sc_hd__nand2_1 _3123_ (.A(\mandelbrot.alu.in_cr[15] ), + .B(_1155_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0849_)); - sky130_fd_sc_hd__a21o_1 _3879_ (.A1(_0848_), - .A2(_0849_), - .B1(_0790_), + .Y(_1156_)); + sky130_fd_sc_hd__xnor2_1 _3124_ (.A(\mandelbrot.alu.in_cr[15] ), + .B(_1155_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0850_)); - sky130_fd_sc_hd__a21o_1 _3880_ (.A1(_0841_), - .A2(_0845_), - .B1(_0843_), + .Y(_1157_)); + sky130_fd_sc_hd__xor2_1 _3125_ (.A(_1595_), + .B(_1152_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0851_)); - sky130_fd_sc_hd__xnor2_1 _3881_ (.A(_0792_), - .B(_0851_), + .X(_1158_)); + sky130_fd_sc_hd__nand2_1 _3126_ (.A(\mandelbrot.alu.in_cr[15] ), + .B(_1158_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0852_)); - sky130_fd_sc_hd__xnor2_1 _3882_ (.A(_0841_), - .B(_0844_), + .Y(_1159_)); + sky130_fd_sc_hd__xnor2_1 _3127_ (.A(\mandelbrot.alu.in_cr[15] ), + .B(_1158_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0853_)); - sky130_fd_sc_hd__o21a_1 _3883_ (.A1(_0852_), - .A2(_0853_), - .B1(_0850_), + .Y(_1160_)); + sky130_fd_sc_hd__o31ai_2 _3128_ (.A1(\mandelbrot.alu.in_cr[14] ), + .A2(_0742_), + .A3(_0762_), + .B1(_0764_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0854_)); - sky130_fd_sc_hd__a21oi_1 _3884_ (.A1(_0852_), - .A2(_0853_), - .B1(_0850_), + .Y(_1161_)); + sky130_fd_sc_hd__o21a_1 _3129_ (.A1(_0743_), + .A2(_0763_), + .B1(_0761_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0855_)); - sky130_fd_sc_hd__nand2_1 _3885_ (.A(net52), - .B(_2434_), + .X(_1162_)); + sky130_fd_sc_hd__and3_1 _3130_ (.A(_0747_), + .B(_0748_), + .C(_1162_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0856_)); - sky130_fd_sc_hd__nor2_1 _3886_ (.A(net141), - .B(net124), + .X(_1163_)); + sky130_fd_sc_hd__or3_1 _3131_ (.A(_1160_), + .B(_1161_), + .C(_1163_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0857_)); - sky130_fd_sc_hd__nor2_1 _3887_ (.A(_0422_), - .B(_0857_), + .X(_1164_)); + sky130_fd_sc_hd__or4_1 _3132_ (.A(_1157_), + .B(_1160_), + .C(_1161_), + .D(_1163_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0858_)); - sky130_fd_sc_hd__and3_2 _3888_ (.A(net52), - .B(net139), - .C(net122), + .X(_1165_)); + sky130_fd_sc_hd__and2b_1 _3133_ (.A_N(\mandelbrot.alu.m1[31] ), + .B(\mandelbrot.alu.m2[31] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0859_)); - sky130_fd_sc_hd__and3_1 _3889_ (.A(net50), - .B(net127), - .C(_0858_), + .X(_1166_)); + sky130_fd_sc_hd__a21oi_1 _3134_ (.A1(_1601_), + .A2(_1154_), + .B1(_1166_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0860_)); - sky130_fd_sc_hd__o21ai_1 _3890_ (.A1(net127), - .A2(_0858_), - .B1(net50), + .Y(_1167_)); + sky130_fd_sc_hd__xnor2_1 _3135_ (.A(\mandelbrot.alu.in_cr[15] ), + .B(_1167_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0861_)); - sky130_fd_sc_hd__nor2_2 _3891_ (.A(_0860_), - .B(_0861_), + .Y(_1168_)); + sky130_fd_sc_hd__and4_1 _3136_ (.A(_1156_), + .B(_1159_), + .C(_1165_), + .D(_1168_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0862_)); - sky130_fd_sc_hd__or3_1 _3892_ (.A(net45), - .B(_1814_), - .C(net37), + .X(_1169_)); + sky130_fd_sc_hd__a31oi_1 _3137_ (.A1(_1156_), + .A2(_1159_), + .A3(_1165_), + .B1(_1168_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0863_)); - sky130_fd_sc_hd__and2_2 _3893_ (.A(net50), - .B(net133), + .Y(_1170_)); + sky130_fd_sc_hd__o31a_1 _3138_ (.A1(_1160_), + .A2(_1161_), + .A3(_1163_), + .B1(_1159_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0864_)); - sky130_fd_sc_hd__a22o_1 _3894_ (.A1(net50), - .A2(net118), - .B1(net114), - .B2(net55), + .X(_1171_)); + sky130_fd_sc_hd__xor2_1 _3139_ (.A(_1157_), + .B(_1171_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0865_)); - sky130_fd_sc_hd__and3_1 _3895_ (.A(_0863_), - .B(_0864_), - .C(_0865_), + .X(_1172_)); + sky130_fd_sc_hd__o21ai_1 _3140_ (.A1(_1161_), + .A2(_1163_), + .B1(_1160_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0866_)); - sky130_fd_sc_hd__a31o_1 _3896_ (.A1(net48), - .A2(net54), - .A3(_2411_), - .B1(_0866_), + .Y(_1173_)); + sky130_fd_sc_hd__a21o_1 _3141_ (.A1(_1164_), + .A2(_1173_), + .B1(_0767_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0867_)); - sky130_fd_sc_hd__or2_1 _3897_ (.A(_0859_), - .B(_0860_), + .X(_1174_)); + sky130_fd_sc_hd__o22a_1 _3142_ (.A1(_1169_), + .A2(_1170_), + .B1(_1172_), + .B2(_1174_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0868_)); - sky130_fd_sc_hd__xor2_1 _3898_ (.A(_0862_), - .B(_0867_), + .X(_1175_)); + sky130_fd_sc_hd__nand2_1 _3143_ (.A(\mandelbrot.alu.in_ci[15] ), + .B(\mandelbrot.alu.m3[29] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0869_)); - sky130_fd_sc_hd__and2_1 _3899_ (.A(_0868_), - .B(_0869_), + .Y(_1176_)); + sky130_fd_sc_hd__or2_1 _3144_ (.A(\mandelbrot.alu.in_ci[15] ), + .B(\mandelbrot.alu.m3[30] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0870_)); - sky130_fd_sc_hd__a21oi_1 _3900_ (.A1(_0862_), - .A2(_0867_), - .B1(_0870_), + .X(_1177_)); + sky130_fd_sc_hd__or2_1 _3145_ (.A(\mandelbrot.alu.in_ci[15] ), + .B(\mandelbrot.alu.m3[29] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0871_)); - sky130_fd_sc_hd__nor2_1 _3901_ (.A(net25), - .B(_0871_), + .X(_1178_)); + sky130_fd_sc_hd__nand2_1 _3146_ (.A(_1176_), + .B(_1178_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0872_)); - sky130_fd_sc_hd__and2_1 _3902_ (.A(_2406_), - .B(_0864_), + .Y(_1179_)); + sky130_fd_sc_hd__o21bai_2 _3147_ (.A1(_0351_), + .A2(_0353_), + .B1_N(_0350_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0873_)); - sky130_fd_sc_hd__a21o_1 _3903_ (.A1(net49), - .A2(_2411_), - .B1(_0873_), + .Y(_1180_)); + sky130_fd_sc_hd__nand2_1 _3148_ (.A(\mandelbrot.alu.in_ci[15] ), + .B(\mandelbrot.alu.m3[30] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0874_)); - sky130_fd_sc_hd__xor2_1 _3904_ (.A(_0862_), - .B(_0874_), + .Y(_1181_)); + sky130_fd_sc_hd__nand2_1 _3149_ (.A(_1177_), + .B(_1181_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0875_)); - sky130_fd_sc_hd__and2_1 _3905_ (.A(_0868_), - .B(_0875_), + .Y(_1182_)); + sky130_fd_sc_hd__and2_1 _3150_ (.A(_1176_), + .B(_1181_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0876_)); - sky130_fd_sc_hd__a21oi_1 _3906_ (.A1(_0862_), - .A2(_0874_), - .B1(_0876_), + .X(_1183_)); + sky130_fd_sc_hd__o31a_1 _3151_ (.A1(_1179_), + .A2(_1180_), + .A3(_1182_), + .B1(_1183_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0877_)); - sky130_fd_sc_hd__nor2_1 _3907_ (.A(net25), - .B(_0877_), + .X(_1184_)); + sky130_fd_sc_hd__xnor2_1 _3152_ (.A(\mandelbrot.alu.in_ci[15] ), + .B(\mandelbrot.alu.m3[31] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0878_)); - sky130_fd_sc_hd__and2_1 _3908_ (.A(net25), - .B(_0877_), + .Y(_1185_)); + sky130_fd_sc_hd__xnor2_1 _3153_ (.A(_1184_), + .B(_1185_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0879_)); - sky130_fd_sc_hd__nor2_2 _3909_ (.A(_0878_), - .B(_0879_), + .Y(_1186_)); + sky130_fd_sc_hd__o21ai_1 _3154_ (.A1(_1179_), + .A2(_1180_), + .B1(_1176_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0880_)); - sky130_fd_sc_hd__nor2_1 _3910_ (.A(_0868_), - .B(_0875_), + .Y(_1187_)); + sky130_fd_sc_hd__xor2_1 _3155_ (.A(_1182_), + .B(_1187_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0881_)); - sky130_fd_sc_hd__nor2_2 _3911_ (.A(_0876_), - .B(_0881_), + .X(_1188_)); + sky130_fd_sc_hd__xor2_1 _3156_ (.A(_1179_), + .B(_1180_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0882_)); - sky130_fd_sc_hd__nor2_1 _3912_ (.A(net133), - .B(_2406_), + .X(_1189_)); + sky130_fd_sc_hd__or4bb_1 _3157_ (.A(_1186_), + .B(_1188_), + .C_N(_1189_), + .D_N(_0354_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0883_)); - sky130_fd_sc_hd__or3_4 _3913_ (.A(net45), - .B(_0873_), - .C(_0883_), + .X(_1190_)); + sky130_fd_sc_hd__nand2_1 _3158_ (.A(_1186_), + .B(_1188_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0884_)); - sky130_fd_sc_hd__nand2_1 _3914_ (.A(net48), - .B(net108), + .Y(_1191_)); + sky130_fd_sc_hd__o31a_1 _3159_ (.A1(_0354_), + .A2(_1189_), + .A3(_1191_), + .B1(_1190_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0885_)); - sky130_fd_sc_hd__nand2_1 _3915_ (.A(net48), - .B(net103), + .X(_1192_)); + sky130_fd_sc_hd__and3_1 _3160_ (.A(_0767_), + .B(_1164_), + .C(_1173_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0886_)); - sky130_fd_sc_hd__o21ai_1 _3916_ (.A1(net53), - .A2(net43), - .B1(_0886_), + .X(_1193_)); + sky130_fd_sc_hd__a211o_1 _3161_ (.A1(_1172_), + .A2(_1193_), + .B1(_1169_), + .C1(_1170_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0887_)); - sky130_fd_sc_hd__and3_1 _3917_ (.A(net49), - .B(_1814_), - .C(_2413_), + .X(_1194_)); + sky130_fd_sc_hd__or3b_1 _3162_ (.A(_1175_), + .B(_1192_), + .C_N(_1194_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0888_)); - sky130_fd_sc_hd__o31a_1 _3918_ (.A1(net44), - .A2(net53), - .A3(net36), - .B1(_0887_), + .X(_1195_)); + sky130_fd_sc_hd__a22o_1 _3163_ (.A1(net154), + .A2(net43), + .B1(net24), + .B2(_1195_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0889_)); - sky130_fd_sc_hd__xnor2_1 _3919_ (.A(_0885_), - .B(_0889_), + .X(_0026_)); + sky130_fd_sc_hd__a2bb2o_1 _3164_ (.A1_N(_0575_), + .A2_N(net10), + .B1(net42), + .B2(\mandelbrot.alu.in_zr[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0890_)); - sky130_fd_sc_hd__inv_2 _3920_ (.A(_0890_), + .X(_0027_)); + sky130_fd_sc_hd__a2bb2o_1 _3165_ (.A1_N(_0584_), + .A2_N(net10), + .B1(net42), + .B2(\mandelbrot.alu.in_zr[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0891_)); - sky130_fd_sc_hd__o22a_1 _3921_ (.A1(net58), - .A2(net43), - .B1(_1826_), - .B2(_1814_), + .X(_0028_)); + sky130_fd_sc_hd__a2bb2o_1 _3166_ (.A1_N(_0598_), + .A2_N(net10), + .B1(net42), + .B2(net270), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0892_)); - sky130_fd_sc_hd__o21ba_1 _3922_ (.A1(_1883_), - .A2(net36), - .B1_N(_0892_), + .X(_0029_)); + sky130_fd_sc_hd__a2bb2o_1 _3167_ (.A1_N(_0608_), + .A2_N(net10), + .B1(net45), + .B2(net214), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0893_)); - sky130_fd_sc_hd__o22a_1 _3923_ (.A1(_1883_), - .A2(net36), - .B1(_0885_), - .B2(_0892_), + .X(_0030_)); + sky130_fd_sc_hd__a2bb2o_1 _3168_ (.A1_N(_0620_), + .A2_N(net10), + .B1(net45), + .B2(net292), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0894_)); - sky130_fd_sc_hd__xor2_1 _3924_ (.A(_0890_), - .B(_0894_), + .X(_0031_)); + sky130_fd_sc_hd__a2bb2o_1 _3169_ (.A1_N(_0632_), + .A2_N(net10), + .B1(net45), + .B2(net239), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0895_)); - sky130_fd_sc_hd__xor2_1 _3925_ (.A(_0884_), - .B(_0895_), + .X(_0032_)); + sky130_fd_sc_hd__a2bb2o_1 _3170_ (.A1_N(_0646_), + .A2_N(net10), + .B1(net44), + .B2(net225), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0896_)); - sky130_fd_sc_hd__and4_1 _3926_ (.A(net57), - .B(_1818_), - .C(net99), - .D(net103), + .X(_0033_)); + sky130_fd_sc_hd__a2bb2o_1 _3171_ (.A1_N(_0657_), + .A2_N(net10), + .B1(net44), + .B2(net234), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0897_)); - sky130_fd_sc_hd__nand2_1 _3927_ (.A(net53), - .B(net108), + .X(_0034_)); + sky130_fd_sc_hd__a2bb2o_1 _3172_ (.A1_N(_0674_), + .A2_N(_1095_), + .B1(net44), + .B2(net249), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0898_)); - sky130_fd_sc_hd__a22o_1 _3928_ (.A1(_1818_), - .A2(net99), - .B1(net103), - .B2(net57), + .X(_0035_)); + sky130_fd_sc_hd__a2bb2o_1 _3173_ (.A1_N(_0683_), + .A2_N(net10), + .B1(net44), + .B2(net230), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0899_)); - sky130_fd_sc_hd__and2b_1 _3929_ (.A_N(_0897_), - .B(_0899_), + .X(_0036_)); + sky130_fd_sc_hd__a2bb2o_1 _3174_ (.A1_N(_0696_), + .A2_N(_1095_), + .B1(net44), + .B2(net235), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0900_)); - sky130_fd_sc_hd__a31o_1 _3930_ (.A1(net53), - .A2(net108), - .A3(_0899_), - .B1(_0897_), + .X(_0037_)); + sky130_fd_sc_hd__a2bb2o_1 _3175_ (.A1_N(_0709_), + .A2_N(_1095_), + .B1(net44), + .B2(net264), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0901_)); - sky130_fd_sc_hd__xnor2_1 _3931_ (.A(_0885_), - .B(_0893_), + .X(_0038_)); + sky130_fd_sc_hd__a22o_1 _3176_ (.A1(net263), + .A2(net44), + .B1(net25), + .B2(_0724_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0902_)); - sky130_fd_sc_hd__and2_1 _3932_ (.A(_0901_), - .B(_0902_), + .X(_0039_)); + sky130_fd_sc_hd__a2bb2o_1 _3177_ (.A1_N(_0734_), + .A2_N(net10), + .B1(net44), + .B2(net251), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0903_)); - sky130_fd_sc_hd__nor2_1 _3933_ (.A(_0901_), - .B(_0902_), + .X(_0040_)); + sky130_fd_sc_hd__a22o_1 _3178_ (.A1(net247), + .A2(net44), + .B1(net25), + .B2(_0750_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0904_)); - sky130_fd_sc_hd__or2_1 _3934_ (.A(_0903_), - .B(_0904_), + .X(_0041_)); + sky130_fd_sc_hd__a22o_1 _3179_ (.A1(net295), + .A2(net43), + .B1(net24), + .B2(_0767_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0905_)); - sky130_fd_sc_hd__nor2_1 _3935_ (.A(_0884_), - .B(_0905_), + .X(_0042_)); + sky130_fd_sc_hd__o21ai_1 _3180_ (.A1(_0811_), + .A2(_0904_), + .B1(\mandelbrot.alu.m3[31] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0906_)); - sky130_fd_sc_hd__o21ai_1 _3936_ (.A1(_0903_), - .A2(_0906_), - .B1(_0896_), + .Y(_1196_)); + sky130_fd_sc_hd__a21o_1 _3181_ (.A1(\mandelbrot.alu.m3[31] ), + .A2(_0905_), + .B1(_0811_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0907_)); - sky130_fd_sc_hd__or3_1 _3937_ (.A(_0896_), - .B(_0903_), - .C(_0906_), + .X(_1197_)); + sky130_fd_sc_hd__a21o_1 _3182_ (.A1(_0903_), + .A2(_0906_), + .B1(_1197_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0908_)); - sky130_fd_sc_hd__and2_1 _3938_ (.A(_0907_), - .B(_0908_), + .X(_1198_)); + sky130_fd_sc_hd__a2bb2o_1 _3183_ (.A1_N(\mandelbrot.alu.m3[31] ), + .A2_N(_0904_), + .B1(_1196_), + .B2(_1198_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0909_)); - sky130_fd_sc_hd__nand2_1 _3939_ (.A(_0882_), - .B(_0909_), + .X(_1199_)); + sky130_fd_sc_hd__nor2_1 _3184_ (.A(_1635_), + .B(_1199_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0910_)); - sky130_fd_sc_hd__or2_1 _3940_ (.A(_0882_), - .B(_0909_), + .Y(_0043_)); + sky130_fd_sc_hd__a22o_1 _3185_ (.A1(net126), + .A2(net103), + .B1(net309), + .B2(net13), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0911_)); - sky130_fd_sc_hd__nand2_1 _3941_ (.A(_0910_), - .B(_0911_), + .X(_0044_)); + sky130_fd_sc_hd__and3_1 _3186_ (.A(net96), + .B(net36), + .C(_0576_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0912_)); - sky130_fd_sc_hd__xnor2_1 _3942_ (.A(_0898_), - .B(_0900_), + .X(_1200_)); + sky130_fd_sc_hd__a221o_1 _3187_ (.A1(net125), + .A2(\mandelbrot.alu.m1[2] ), + .B1(\mandelbrot.alu.m1[0] ), + .B2(net12), + .C1(_1200_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0913_)); - sky130_fd_sc_hd__and4_1 _3943_ (.A(net62), - .B(_1819_), - .C(net99), - .D(net103), + .X(_0045_)); + sky130_fd_sc_hd__and3_1 _3188_ (.A(net96), + .B(net36), + .C(_0585_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0914_)); - sky130_fd_sc_hd__a22o_1 _3944_ (.A1(_1819_), - .A2(net99), + .X(_1201_)); + sky130_fd_sc_hd__a221o_1 _3189_ (.A1(net125), + .A2(\mandelbrot.alu.m1[3] ), .B1(net103), - .B2(net62), + .B2(net12), + .C1(_1201_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0915_)); - sky130_fd_sc_hd__inv_2 _3945_ (.A(_0915_), + .X(_0046_)); + sky130_fd_sc_hd__and3_1 _3190_ (.A(net96), + .B(net36), + .C(_0599_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0916_)); - sky130_fd_sc_hd__and4b_1 _3946_ (.A_N(_0914_), - .B(_0915_), - .C(net57), - .D(net108), + .X(_1202_)); + sky130_fd_sc_hd__a221o_1 _3191_ (.A1(net125), + .A2(\mandelbrot.alu.m1[4] ), + .B1(\mandelbrot.alu.m1[2] ), + .B2(net12), + .C1(_1202_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0917_)); - sky130_fd_sc_hd__o21a_1 _3947_ (.A1(_0914_), - .A2(_0917_), - .B1(_0913_), + .X(_0047_)); + sky130_fd_sc_hd__and3_1 _3192_ (.A(net96), + .B(_1629_), + .C(_0609_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0918_)); - sky130_fd_sc_hd__nor3_1 _3948_ (.A(_0913_), - .B(_0914_), - .C(_0917_), + .X(_1203_)); + sky130_fd_sc_hd__a221o_1 _3193_ (.A1(net125), + .A2(\mandelbrot.alu.m1[5] ), + .B1(\mandelbrot.alu.m1[3] ), + .B2(net12), + .C1(_1203_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0919_)); - sky130_fd_sc_hd__or2_1 _3949_ (.A(_0918_), - .B(_0919_), + .X(_0048_)); + sky130_fd_sc_hd__and3_1 _3194_ (.A(net96), + .B(net37), + .C(_0621_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0920_)); - sky130_fd_sc_hd__nor2_1 _3950_ (.A(_0884_), - .B(_0920_), + .X(_1204_)); + sky130_fd_sc_hd__a221o_1 _3195_ (.A1(net125), + .A2(\mandelbrot.alu.m1[6] ), + .B1(\mandelbrot.alu.m1[4] ), + .B2(net12), + .C1(_1204_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0921_)); - sky130_fd_sc_hd__and2_1 _3951_ (.A(_0884_), - .B(_0905_), + .X(_0049_)); + sky130_fd_sc_hd__and3_1 _3196_ (.A(net96), + .B(net36), + .C(_0633_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0922_)); - sky130_fd_sc_hd__nor2_1 _3952_ (.A(_0906_), - .B(_0922_), + .X(_1205_)); + sky130_fd_sc_hd__a221o_1 _3197_ (.A1(net125), + .A2(\mandelbrot.alu.m1[7] ), + .B1(\mandelbrot.alu.m1[5] ), + .B2(net12), + .C1(_1205_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0923_)); - sky130_fd_sc_hd__o21a_1 _3953_ (.A1(_0918_), - .A2(_0921_), - .B1(_0923_), + .X(_0050_)); + sky130_fd_sc_hd__and3_1 _3198_ (.A(net96), + .B(net37), + .C(_0647_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0924_)); - sky130_fd_sc_hd__nor3_1 _3954_ (.A(_0918_), - .B(_0921_), - .C(_0923_), + .X(_1206_)); + sky130_fd_sc_hd__a221o_1 _3199_ (.A1(net125), + .A2(\mandelbrot.alu.m1[8] ), + .B1(net310), + .B2(net12), + .C1(_1206_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0925_)); - sky130_fd_sc_hd__nor2_1 _3955_ (.A(_0924_), - .B(_0925_), + .X(_0051_)); + sky130_fd_sc_hd__and3_1 _3200_ (.A(net96), + .B(net37), + .C(_0658_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0926_)); - sky130_fd_sc_hd__a21oi_1 _3956_ (.A1(_0882_), - .A2(_0926_), - .B1(_0924_), + .X(_1207_)); + sky130_fd_sc_hd__a221o_1 _3201_ (.A1(net127), + .A2(\mandelbrot.alu.m1[9] ), + .B1(\mandelbrot.alu.m1[7] ), + .B2(net12), + .C1(_1207_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0927_)); - sky130_fd_sc_hd__nor2_1 _3957_ (.A(_0912_), - .B(_0927_), + .X(_0052_)); + sky130_fd_sc_hd__and3_1 _3202_ (.A(net97), + .B(net38), + .C(_0675_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0928_)); - sky130_fd_sc_hd__and2_1 _3958_ (.A(_0912_), - .B(_0927_), + .X(_1208_)); + sky130_fd_sc_hd__a221o_1 _3203_ (.A1(net127), + .A2(net294), + .B1(\mandelbrot.alu.m1[8] ), + .B2(net14), + .C1(_1208_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0929_)); - sky130_fd_sc_hd__nor2_1 _3959_ (.A(_0928_), - .B(_0929_), + .X(_0053_)); + sky130_fd_sc_hd__and3_1 _3204_ (.A(net97), + .B(net37), + .C(_0684_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0930_)); - sky130_fd_sc_hd__xnor2_1 _3960_ (.A(_0880_), - .B(_0930_), + .X(_1209_)); + sky130_fd_sc_hd__a221o_1 _3205_ (.A1(net127), + .A2(net317), + .B1(\mandelbrot.alu.m1[9] ), + .B2(net14), + .C1(_1209_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0931_)); - sky130_fd_sc_hd__and2_1 _3961_ (.A(_0884_), - .B(_0920_), + .X(_0054_)); + sky130_fd_sc_hd__and3_1 _3206_ (.A(net97), + .B(net38), + .C(_0697_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0932_)); - sky130_fd_sc_hd__nor2_1 _3962_ (.A(_0921_), - .B(_0932_), + .X(_1210_)); + sky130_fd_sc_hd__a221o_1 _3207_ (.A1(net127), + .A2(\mandelbrot.alu.m1[12] ), + .B1(\mandelbrot.alu.m1[10] ), + .B2(net14), + .C1(_1210_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0933_)); - sky130_fd_sc_hd__o22a_1 _3963_ (.A1(_1816_), - .A2(_1825_), - .B1(_0914_), - .B2(_0916_), + .X(_0055_)); + sky130_fd_sc_hd__and3_1 _3208_ (.A(net97), + .B(net39), + .C(_0710_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0934_)); - sky130_fd_sc_hd__nor2_1 _3964_ (.A(_0917_), - .B(_0934_), + .X(_1211_)); + sky130_fd_sc_hd__a221o_1 _3209_ (.A1(net127), + .A2(\mandelbrot.alu.m1[13] ), + .B1(net306), + .B2(net14), + .C1(_1211_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0935_)); - sky130_fd_sc_hd__and4_1 _3965_ (.A(net66), - .B(_1820_), - .C(net99), - .D(net103), + .X(_0056_)); + sky130_fd_sc_hd__and3_1 _3210_ (.A(net97), + .B(net38), + .C(_0725_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0936_)); - sky130_fd_sc_hd__o22a_1 _3966_ (.A1(net71), - .A2(net43), - .B1(_1826_), - .B2(_1819_), + .X(_1212_)); + sky130_fd_sc_hd__a221o_1 _3211_ (.A1(net127), + .A2(\mandelbrot.alu.m1[14] ), + .B1(\mandelbrot.alu.m1[12] ), + .B2(net14), + .C1(_1212_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0937_)); - sky130_fd_sc_hd__and4bb_1 _3967_ (.A_N(_0936_), - .B_N(_0937_), - .C(net62), - .D(net108), + .X(_0057_)); + sky130_fd_sc_hd__and3_1 _3212_ (.A(net96), + .B(net39), + .C(_0735_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0938_)); - sky130_fd_sc_hd__nor2_1 _3968_ (.A(_0936_), - .B(_0938_), + .X(_1213_)); + sky130_fd_sc_hd__a221o_1 _3213_ (.A1(net125), + .A2(\mandelbrot.alu.m1[15] ), + .B1(\mandelbrot.alu.m1[13] ), + .B2(net12), + .C1(_1213_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0939_)); - sky130_fd_sc_hd__a21oi_1 _3969_ (.A1(_0863_), - .A2(_0865_), - .B1(_0864_), + .X(_0058_)); + sky130_fd_sc_hd__or2_1 _3214_ (.A(\mandelbrot.alu.m1[0] ), + .B(\mandelbrot.alu.mult_zr_zr.shift_reg[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0940_)); - sky130_fd_sc_hd__nor2_1 _3970_ (.A(_0866_), - .B(_0940_), + .X(_1214_)); + sky130_fd_sc_hd__nand2_1 _3215_ (.A(\mandelbrot.alu.m1[0] ), + .B(\mandelbrot.alu.mult_zr_zr.shift_reg[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0941_)); - sky130_fd_sc_hd__xnor2_1 _3971_ (.A(_0935_), - .B(_0939_), + .Y(_1215_)); + sky130_fd_sc_hd__a21o_1 _3216_ (.A1(\mandelbrot.alu.m1[0] ), + .A2(\mandelbrot.alu.mult_zr_zr.shift_reg[0] ), + .B1(net104), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0942_)); - sky130_fd_sc_hd__nand2_1 _3972_ (.A(_0941_), - .B(_0942_), + .X(_1216_)); + sky130_fd_sc_hd__o21ai_4 _3217_ (.A1(\mandelbrot.alu.m1[0] ), + .A2(\mandelbrot.alu.mult_zr_zr.shift_reg[0] ), + .B1(net104), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0943_)); - sky130_fd_sc_hd__o31ai_2 _3973_ (.A1(_0917_), - .A2(_0934_), - .A3(_0939_), - .B1(_0943_), + .Y(_1217_)); + sky130_fd_sc_hd__nand2_1 _3218_ (.A(_1216_), + .B(_1217_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0944_)); - sky130_fd_sc_hd__nand2_1 _3974_ (.A(_0933_), - .B(_0944_), + .Y(_1218_)); + sky130_fd_sc_hd__and2_2 _3219_ (.A(_1216_), + .B(_1217_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0945_)); - sky130_fd_sc_hd__nor2_1 _3975_ (.A(_0868_), - .B(_0869_), + .X(_1219_)); + sky130_fd_sc_hd__mux2_1 _3220_ (.A0(_1214_), + .A1(_1215_), + .S(net103), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0946_)); - sky130_fd_sc_hd__nor2_1 _3976_ (.A(_0870_), - .B(_0946_), + .X(_1220_)); + sky130_fd_sc_hd__and3_1 _3221_ (.A(\mandelbrot.alu.in_zr[0] ), + .B(_1214_), + .C(_1215_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0947_)); - sky130_fd_sc_hd__xor2_1 _3977_ (.A(_0933_), - .B(_0944_), + .X(_1221_)); + sky130_fd_sc_hd__a21o_1 _3222_ (.A1(\mandelbrot.alu.m1[16] ), + .A2(_1221_), + .B1(net97), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0948_)); - sky130_fd_sc_hd__nand2_1 _3978_ (.A(_0947_), - .B(_0948_), + .X(_1222_)); + sky130_fd_sc_hd__o21ba_1 _3223_ (.A1(\mandelbrot.alu.m1[16] ), + .A2(_1221_), + .B1_N(_1222_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0949_)); - sky130_fd_sc_hd__xnor2_1 _3979_ (.A(_0882_), - .B(_0926_), + .X(_1223_)); + sky130_fd_sc_hd__a221o_1 _3224_ (.A1(\mandelbrot.alu.m1[14] ), + .A2(net14), + .B1(_0751_), + .B2(_1630_), + .C1(_1223_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0950_)); - sky130_fd_sc_hd__a21oi_1 _3980_ (.A1(_0945_), - .A2(_0949_), - .B1(_0950_), + .X(_0059_)); + sky130_fd_sc_hd__and3_1 _3225_ (.A(\mandelbrot.alu.m1[16] ), + .B(\mandelbrot.alu.in_zr[0] ), + .C(net68), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0951_)); - sky130_fd_sc_hd__and2_1 _3981_ (.A(net25), - .B(_0871_), + .X(_1224_)); + sky130_fd_sc_hd__and3_1 _3226_ (.A(\mandelbrot.alu.in_zr[0] ), + .B(_1216_), + .C(_1217_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0952_)); - sky130_fd_sc_hd__nor2_1 _3982_ (.A(_0872_), - .B(_0952_), + .X(_1225_)); + sky130_fd_sc_hd__nand2_1 _3227_ (.A(net103), + .B(\mandelbrot.alu.in_zr[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0953_)); - sky130_fd_sc_hd__and3_1 _3983_ (.A(_0945_), - .B(_0949_), - .C(_0950_), + .Y(_1226_)); + sky130_fd_sc_hd__xnor2_1 _3228_ (.A(\mandelbrot.alu.in_zr[1] ), + .B(_1226_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0954_)); - sky130_fd_sc_hd__nor2_1 _3984_ (.A(_0951_), - .B(_0954_), + .Y(_1227_)); + sky130_fd_sc_hd__nand3b_1 _3229_ (.A_N(\mandelbrot.alu.in_zr[0] ), + .B(_1216_), + .C(_1217_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0955_)); - sky130_fd_sc_hd__a21oi_1 _3985_ (.A1(_0953_), - .A2(_0955_), - .B1(_0951_), + .Y(_1228_)); + sky130_fd_sc_hd__o211a_1 _3230_ (.A1(_1219_), + .A2(_1227_), + .B1(_1228_), + .C1(net102), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0956_)); - sky130_fd_sc_hd__nor2_1 _3986_ (.A(_0931_), - .B(_0956_), + .X(_1229_)); + sky130_fd_sc_hd__a211o_1 _3231_ (.A1(net68), + .A2(_1227_), + .B1(_1225_), + .C1(net102), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0957_)); - sky130_fd_sc_hd__and2_1 _3987_ (.A(_0931_), - .B(_0956_), + .X(_1230_)); + sky130_fd_sc_hd__and2b_1 _3232_ (.A_N(_1229_), + .B(_1230_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0958_)); - sky130_fd_sc_hd__nor2_1 _3988_ (.A(_0957_), - .B(_0958_), + .X(_1231_)); + sky130_fd_sc_hd__xnor2_1 _3233_ (.A(_1224_), + .B(_1231_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0959_)); - sky130_fd_sc_hd__and2_1 _3989_ (.A(_0872_), - .B(_0959_), + .Y(_1232_)); + sky130_fd_sc_hd__nand2_1 _3234_ (.A(net66), + .B(_1232_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0960_)); - sky130_fd_sc_hd__nor2_1 _3990_ (.A(_0872_), - .B(_0959_), + .Y(_1233_)); + sky130_fd_sc_hd__o211a_1 _3235_ (.A1(net102), + .A2(net66), + .B1(_1233_), + .C1(net126), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0961_)); - sky130_fd_sc_hd__or2_1 _3991_ (.A(_0960_), - .B(_0961_), + .X(_1234_)); + sky130_fd_sc_hd__a221o_1 _3236_ (.A1(\mandelbrot.alu.m1[15] ), + .A2(net12), + .B1(_0768_), + .B2(_1630_), + .C1(_1234_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0962_)); - sky130_fd_sc_hd__or2_1 _3992_ (.A(_0947_), - .B(_0948_), + .X(_0060_)); + sky130_fd_sc_hd__o21ai_1 _3237_ (.A1(\mandelbrot.alu.in_zr[0] ), + .A2(\mandelbrot.alu.in_zr[1] ), + .B1(net103), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0963_)); - sky130_fd_sc_hd__and2_1 _3993_ (.A(_0949_), - .B(_0963_), + .Y(_1235_)); + sky130_fd_sc_hd__xnor2_1 _3238_ (.A(\mandelbrot.alu.in_zr[2] ), + .B(_1235_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0964_)); - sky130_fd_sc_hd__or2_1 _3994_ (.A(_0941_), - .B(_0942_), + .Y(_1236_)); + sky130_fd_sc_hd__mux2_1 _3239_ (.A0(_1227_), + .A1(_1236_), + .S(net68), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0965_)); - sky130_fd_sc_hd__nand2_1 _3995_ (.A(_0943_), - .B(_0965_), + .X(_1237_)); + sky130_fd_sc_hd__and2_1 _3240_ (.A(\mandelbrot.alu.m1[18] ), + .B(_1237_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0966_)); - sky130_fd_sc_hd__o22a_1 _3996_ (.A1(_1818_), - .A2(_1825_), - .B1(_0936_), - .B2(_0937_), + .X(_1238_)); + sky130_fd_sc_hd__xor2_1 _3241_ (.A(\mandelbrot.alu.m1[18] ), + .B(_1237_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0967_)); - sky130_fd_sc_hd__nor2_1 _3997_ (.A(_0938_), - .B(_0967_), + .X(_1239_)); + sky130_fd_sc_hd__a21o_1 _3242_ (.A1(_1224_), + .A2(_1230_), + .B1(_1229_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0968_)); - sky130_fd_sc_hd__and4b_1 _3998_ (.A_N(net76), - .B(net72), - .C(net99), - .D(net104), + .X(_1240_)); + sky130_fd_sc_hd__xor2_1 _3243_ (.A(_1239_), + .B(_1240_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0969_)); - sky130_fd_sc_hd__nand2_1 _3999_ (.A(net67), - .B(net109), + .X(_1241_)); + sky130_fd_sc_hd__mux2_1 _3244_ (.A0(\mandelbrot.alu.m1[18] ), + .A1(_1241_), + .S(net66), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0970_)); - sky130_fd_sc_hd__a2bb2o_1 _4000_ (.A1_N(net76), - .A2_N(net43), - .B1(net104), - .B2(net72), + .X(_1242_)); + sky130_fd_sc_hd__a22o_1 _3245_ (.A1(\mandelbrot.alu.m1[16] ), + .A2(net13), + .B1(_1242_), + .B2(net126), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0971_)); - sky130_fd_sc_hd__and2b_1 _4001_ (.A_N(_0969_), - .B(_0971_), + .X(_0061_)); + sky130_fd_sc_hd__o31a_1 _3246_ (.A1(\mandelbrot.alu.in_zr[0] ), + .A2(\mandelbrot.alu.in_zr[1] ), + .A3(\mandelbrot.alu.in_zr[2] ), + .B1(net103), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0972_)); - sky130_fd_sc_hd__a31o_1 _4002_ (.A1(net67), - .A2(net109), - .A3(_0971_), - .B1(_0969_), + .X(_1243_)); + sky130_fd_sc_hd__xor2_1 _3247_ (.A(\mandelbrot.alu.in_zr[3] ), + .B(_1243_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0973_)); - sky130_fd_sc_hd__or2_1 _4003_ (.A(_1925_), - .B(net37), + .X(_1244_)); + sky130_fd_sc_hd__mux2_1 _3248_ (.A0(_1236_), + .A1(_1244_), + .S(net68), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0974_)); - sky130_fd_sc_hd__a22o_1 _4004_ (.A1(net55), - .A2(net118), - .B1(net114), - .B2(net59), + .X(_1245_)); + sky130_fd_sc_hd__nand2_1 _3249_ (.A(\mandelbrot.alu.m1[19] ), + .B(_1245_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0975_)); - sky130_fd_sc_hd__and3_1 _4005_ (.A(_0864_), - .B(_0974_), - .C(_0975_), + .Y(_1246_)); + sky130_fd_sc_hd__or2_1 _3250_ (.A(\mandelbrot.alu.m1[19] ), + .B(_1245_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0976_)); - sky130_fd_sc_hd__a21oi_1 _4006_ (.A1(_0974_), - .A2(_0975_), - .B1(_0864_), + .X(_1247_)); + sky130_fd_sc_hd__and2_1 _3251_ (.A(_1246_), + .B(_1247_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0977_)); - sky130_fd_sc_hd__xnor2_1 _4007_ (.A(_0968_), - .B(_0973_), + .X(_1248_)); + sky130_fd_sc_hd__a21o_1 _3252_ (.A1(_1239_), + .A2(_1240_), + .B1(_1238_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0978_)); - sky130_fd_sc_hd__or3_1 _4008_ (.A(_0976_), - .B(_0977_), - .C(_0978_), + .X(_1249_)); + sky130_fd_sc_hd__nand2_1 _3253_ (.A(_1248_), + .B(_1249_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0979_)); - sky130_fd_sc_hd__a21bo_1 _4009_ (.A1(_0968_), - .A2(_0973_), - .B1_N(_0979_), + .Y(_1250_)); + sky130_fd_sc_hd__xor2_1 _3254_ (.A(_1248_), + .B(_1249_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0980_)); - sky130_fd_sc_hd__and3_1 _4010_ (.A(_0943_), - .B(_0965_), - .C(_0980_), + .X(_1251_)); + sky130_fd_sc_hd__mux2_1 _3255_ (.A0(\mandelbrot.alu.m1[19] ), + .A1(_1251_), + .S(net66), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0981_)); - sky130_fd_sc_hd__a21o_1 _4011_ (.A1(_1924_), - .A2(_2411_), - .B1(_0976_), + .X(_1252_)); + sky130_fd_sc_hd__a22o_1 _3256_ (.A1(net212), + .A2(net13), + .B1(_1252_), + .B2(net126), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0982_)); - sky130_fd_sc_hd__xor2_1 _4012_ (.A(_0862_), - .B(_0982_), + .X(_0062_)); + sky130_fd_sc_hd__or4_1 _3257_ (.A(\mandelbrot.alu.in_zr[0] ), + .B(\mandelbrot.alu.in_zr[1] ), + .C(\mandelbrot.alu.in_zr[2] ), + .D(\mandelbrot.alu.in_zr[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0983_)); - sky130_fd_sc_hd__and2_1 _4013_ (.A(_0868_), - .B(_0983_), + .X(_1253_)); + sky130_fd_sc_hd__nand2_1 _3258_ (.A(net104), + .B(_1253_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0984_)); - sky130_fd_sc_hd__nor2_1 _4014_ (.A(_0868_), - .B(_0983_), + .Y(_1254_)); + sky130_fd_sc_hd__xnor2_1 _3259_ (.A(\mandelbrot.alu.in_zr[4] ), + .B(_1254_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0985_)); - sky130_fd_sc_hd__or2_1 _4015_ (.A(_0984_), - .B(_0985_), + .Y(_1255_)); + sky130_fd_sc_hd__mux2_1 _3260_ (.A0(_1244_), + .A1(_1255_), + .S(net68), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0986_)); - sky130_fd_sc_hd__xor2_1 _4016_ (.A(_0966_), - .B(_0980_), + .X(_1256_)); + sky130_fd_sc_hd__nand2_1 _3261_ (.A(\mandelbrot.alu.m1[20] ), + .B(_1256_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0987_)); - sky130_fd_sc_hd__o21ba_1 _4017_ (.A1(_0986_), - .A2(_0987_), - .B1_N(_0981_), + .Y(_1257_)); + sky130_fd_sc_hd__inv_2 _3262_ (.A(_1257_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0988_)); - sky130_fd_sc_hd__nand2b_1 _4018_ (.A_N(_0988_), - .B(_0964_), + .Y(_1258_)); + sky130_fd_sc_hd__or2_1 _3263_ (.A(\mandelbrot.alu.m1[20] ), + .B(_1256_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0989_)); - sky130_fd_sc_hd__a21oi_1 _4019_ (.A1(_0862_), - .A2(_0982_), - .B1(_0984_), + .X(_1259_)); + sky130_fd_sc_hd__and2_1 _3264_ (.A(_1257_), + .B(_1259_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0990_)); - sky130_fd_sc_hd__nor2_1 _4020_ (.A(net25), - .B(_0990_), + .X(_1260_)); + sky130_fd_sc_hd__nand2_1 _3265_ (.A(_1246_), + .B(_1250_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0991_)); - sky130_fd_sc_hd__and2_1 _4021_ (.A(net25), - .B(_0990_), + .Y(_1261_)); + sky130_fd_sc_hd__a21boi_1 _3266_ (.A1(_1246_), + .A2(_1250_), + .B1_N(_1260_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0992_)); - sky130_fd_sc_hd__nor2_1 _4022_ (.A(_0991_), - .B(_0992_), + .Y(_1262_)); + sky130_fd_sc_hd__xor2_1 _3267_ (.A(_1260_), + .B(_1261_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0993_)); - sky130_fd_sc_hd__xnor2_1 _4023_ (.A(_0964_), - .B(_0988_), + .X(_1263_)); + sky130_fd_sc_hd__mux2_1 _3268_ (.A0(\mandelbrot.alu.m1[20] ), + .A1(_1263_), + .S(net66), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0994_)); - sky130_fd_sc_hd__nand2_1 _4024_ (.A(_0993_), - .B(_0994_), + .X(_1264_)); + sky130_fd_sc_hd__a22o_1 _3269_ (.A1(\mandelbrot.alu.m1[18] ), + .A2(net13), + .B1(_1264_), + .B2(net125), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0995_)); - sky130_fd_sc_hd__xnor2_1 _4025_ (.A(_0953_), - .B(_0955_), + .X(_0063_)); + sky130_fd_sc_hd__or2_1 _3270_ (.A(_1258_), + .B(_1262_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0996_)); - sky130_fd_sc_hd__a21oi_1 _4026_ (.A1(_0989_), - .A2(_0995_), - .B1(_0996_), + .X(_1265_)); + sky130_fd_sc_hd__o21ai_1 _3271_ (.A1(\mandelbrot.alu.in_zr[4] ), + .A2(_1253_), + .B1(net104), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0997_)); - sky130_fd_sc_hd__and3_1 _4027_ (.A(_0989_), - .B(_0995_), - .C(_0996_), + .Y(_1266_)); + sky130_fd_sc_hd__xnor2_1 _3272_ (.A(\mandelbrot.alu.in_zr[5] ), + .B(_1266_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0998_)); - sky130_fd_sc_hd__nor2_1 _4028_ (.A(_0997_), - .B(_0998_), + .Y(_1267_)); + sky130_fd_sc_hd__mux2_1 _3273_ (.A0(_1255_), + .A1(_1267_), + .S(net68), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0999_)); - sky130_fd_sc_hd__a21oi_1 _4029_ (.A1(_0991_), - .A2(_0999_), - .B1(_0997_), + .X(_1268_)); + sky130_fd_sc_hd__or2_1 _3274_ (.A(\mandelbrot.alu.m1[21] ), + .B(_1268_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1000_)); - sky130_fd_sc_hd__nor2_1 _4030_ (.A(_0962_), - .B(_1000_), + .X(_1269_)); + sky130_fd_sc_hd__inv_2 _3275_ (.A(_1269_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1001_)); - sky130_fd_sc_hd__and2_1 _4031_ (.A(_0962_), - .B(_1000_), + .Y(_1270_)); + sky130_fd_sc_hd__and2_1 _3276_ (.A(\mandelbrot.alu.m1[21] ), + .B(_1268_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1002_)); - sky130_fd_sc_hd__nor2_1 _4032_ (.A(_1001_), - .B(_1002_), + .X(_1271_)); + sky130_fd_sc_hd__o21a_1 _3277_ (.A1(_1270_), + .A2(_1271_), + .B1(_1265_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1003_)); - sky130_fd_sc_hd__or2_1 _4033_ (.A(_0993_), - .B(_0994_), + .X(_1272_)); + sky130_fd_sc_hd__o31ai_1 _3278_ (.A1(_1265_), + .A2(_1270_), + .A3(_1271_), + .B1(net66), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1004_)); - sky130_fd_sc_hd__nand2_1 _4034_ (.A(_0995_), - .B(_1004_), + .Y(_1273_)); + sky130_fd_sc_hd__nand2_1 _3279_ (.A(net129), + .B(net65), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1005_)); - sky130_fd_sc_hd__xor2_1 _4035_ (.A(_0986_), - .B(_0987_), + .Y(_1274_)); + sky130_fd_sc_hd__o221a_1 _3280_ (.A1(\mandelbrot.alu.m1[21] ), + .A2(net66), + .B1(_1272_), + .B2(_1273_), + .C1(net126), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1006_)); - sky130_fd_sc_hd__o21ai_1 _4036_ (.A1(_0976_), - .A2(_0977_), - .B1(_0978_), + .X(_1275_)); + sky130_fd_sc_hd__a31o_1 _3281_ (.A1(net97), + .A2(\mandelbrot.alu.m1[19] ), + .A3(net40), + .B1(_1275_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1007_)); - sky130_fd_sc_hd__nand2_1 _4037_ (.A(_0979_), - .B(_1007_), + .X(_0064_)); + sky130_fd_sc_hd__or3_1 _3282_ (.A(\mandelbrot.alu.in_zr[4] ), + .B(\mandelbrot.alu.in_zr[5] ), + .C(_1253_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1008_)); - sky130_fd_sc_hd__xnor2_1 _4038_ (.A(_0970_), - .B(_0972_), + .X(_1276_)); + sky130_fd_sc_hd__nand2_1 _3283_ (.A(net105), + .B(_1276_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1009_)); - sky130_fd_sc_hd__and4_1 _4039_ (.A(net78), - .B(_1821_), - .C(net99), - .D(net104), + .Y(_1277_)); + sky130_fd_sc_hd__xor2_1 _3284_ (.A(\mandelbrot.alu.in_zr[6] ), + .B(_1277_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1010_)); - sky130_fd_sc_hd__nand2_1 _4040_ (.A(net74), - .B(net109), + .X(_1278_)); + sky130_fd_sc_hd__or2_1 _3285_ (.A(net68), + .B(_1267_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1011_)); - sky130_fd_sc_hd__a22o_1 _4041_ (.A1(_1821_), - .A2(net99), - .B1(net104), - .B2(net78), + .X(_1279_)); + sky130_fd_sc_hd__nand2_1 _3286_ (.A(net68), + .B(_1278_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1012_)); - sky130_fd_sc_hd__and2b_1 _4042_ (.A_N(_1010_), - .B(_1012_), + .Y(_1280_)); + sky130_fd_sc_hd__and3_1 _3287_ (.A(\mandelbrot.alu.m1[22] ), + .B(_1279_), + .C(_1280_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1013_)); - sky130_fd_sc_hd__a31o_1 _4043_ (.A1(net74), - .A2(net108), - .A3(_1012_), - .B1(_1010_), + .X(_1281_)); + sky130_fd_sc_hd__a21oi_1 _3288_ (.A1(_1279_), + .A2(_1280_), + .B1(\mandelbrot.alu.m1[22] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1014_)); - sky130_fd_sc_hd__nand2_1 _4044_ (.A(_1009_), - .B(_1014_), + .Y(_1282_)); + sky130_fd_sc_hd__nor2_1 _3289_ (.A(_1281_), + .B(_1282_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1015_)); - sky130_fd_sc_hd__or2_1 _4045_ (.A(_1902_), - .B(net37), + .Y(_1283_)); + sky130_fd_sc_hd__a21oi_1 _3290_ (.A1(_1265_), + .A2(_1269_), + .B1(_1271_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1016_)); - sky130_fd_sc_hd__a22o_1 _4046_ (.A1(net59), - .A2(net118), - .B1(net114), - .B2(net63), + .Y(_1284_)); + sky130_fd_sc_hd__o311a_1 _3291_ (.A1(_1258_), + .A2(_1262_), + .A3(_1271_), + .B1(_1283_), + .C1(_1269_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1017_)); - sky130_fd_sc_hd__and3_1 _4047_ (.A(_0864_), - .B(_1016_), - .C(_1017_), + .X(_1285_)); + sky130_fd_sc_hd__xnor2_1 _3292_ (.A(_1283_), + .B(_1284_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1018_)); - sky130_fd_sc_hd__a21oi_1 _4048_ (.A1(_1016_), - .A2(_1017_), - .B1(_0864_), + .Y(_1286_)); + sky130_fd_sc_hd__mux2_1 _3293_ (.A0(\mandelbrot.alu.m1[22] ), + .A1(_1286_), + .S(net66), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1019_)); - sky130_fd_sc_hd__or2_1 _4049_ (.A(_1018_), - .B(_1019_), + .X(_1287_)); + sky130_fd_sc_hd__a22o_1 _3294_ (.A1(\mandelbrot.alu.m1[20] ), + .A2(net13), + .B1(_1287_), + .B2(net126), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1020_)); - sky130_fd_sc_hd__or2_1 _4050_ (.A(_1009_), - .B(_1014_), + .X(_0065_)); + sky130_fd_sc_hd__or2_1 _3295_ (.A(\mandelbrot.alu.in_zr[6] ), + .B(_1276_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1021_)); - sky130_fd_sc_hd__nand2_1 _4051_ (.A(_1015_), - .B(_1021_), + .X(_1288_)); + sky130_fd_sc_hd__and2_1 _3296_ (.A(net105), + .B(_1288_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1022_)); - sky130_fd_sc_hd__or2_1 _4052_ (.A(_1020_), - .B(_1022_), + .X(_1289_)); + sky130_fd_sc_hd__xnor2_1 _3297_ (.A(\mandelbrot.alu.in_zr[7] ), + .B(_1289_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1023_)); - sky130_fd_sc_hd__a21oi_1 _4053_ (.A1(_1015_), - .A2(_1023_), - .B1(_1008_), + .Y(_1290_)); + sky130_fd_sc_hd__mux2_1 _3298_ (.A0(_1278_), + .A1(_1290_), + .S(net68), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1024_)); - sky130_fd_sc_hd__a31o_1 _4054_ (.A1(net59), - .A2(net63), - .A3(_2411_), - .B1(_1018_), + .X(_1291_)); + sky130_fd_sc_hd__nand2b_1 _3299_ (.A_N(_1291_), + .B(\mandelbrot.alu.m1[23] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1025_)); - sky130_fd_sc_hd__xor2_1 _4055_ (.A(_0862_), - .B(_1025_), + .Y(_1292_)); + sky130_fd_sc_hd__xnor2_1 _3300_ (.A(\mandelbrot.alu.m1[23] ), + .B(_1291_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1026_)); - sky130_fd_sc_hd__a22oi_1 _4056_ (.A1(\mandelbrot.alu.in_zr[10] ), - .A2(net138), - .B1(net124), - .B2(net56), + .Y(_1293_)); + sky130_fd_sc_hd__o21ai_2 _3301_ (.A1(_1281_), + .A2(_1285_), + .B1(_1293_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1027_)); - sky130_fd_sc_hd__a21oi_1 _4057_ (.A1(net56), - .A2(_0859_), - .B1(_1027_), + .Y(_1294_)); + sky130_fd_sc_hd__or3_1 _3302_ (.A(_1281_), + .B(_1285_), + .C(_1293_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1028_)); - sky130_fd_sc_hd__and3_1 _4058_ (.A(net52), - .B(net127), - .C(_1028_), + .X(_1295_)); + sky130_fd_sc_hd__and2_1 _3303_ (.A(_1294_), + .B(_1295_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1029_)); - sky130_fd_sc_hd__a21o_1 _4059_ (.A1(net55), - .A2(_0859_), - .B1(_1029_), + .X(_1296_)); + sky130_fd_sc_hd__mux2_1 _3304_ (.A0(\mandelbrot.alu.m1[23] ), + .A1(_1296_), + .S(net66), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1030_)); - sky130_fd_sc_hd__and2_1 _4060_ (.A(_1026_), - .B(_1030_), + .X(_1297_)); + sky130_fd_sc_hd__a22o_1 _3305_ (.A1(\mandelbrot.alu.m1[21] ), + .A2(net13), + .B1(_1297_), + .B2(net126), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1031_)); - sky130_fd_sc_hd__nor2_1 _4061_ (.A(_1026_), - .B(_1030_), + .X(_0066_)); + sky130_fd_sc_hd__o21a_1 _3306_ (.A1(\mandelbrot.alu.in_zr[7] ), + .A2(_1288_), + .B1(net105), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1032_)); - sky130_fd_sc_hd__nor2_1 _4062_ (.A(_1031_), - .B(_1032_), + .X(_1298_)); + sky130_fd_sc_hd__xnor2_1 _3307_ (.A(\mandelbrot.alu.in_zr[8] ), + .B(_1298_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1033_)); - sky130_fd_sc_hd__and3_1 _4063_ (.A(_1008_), - .B(_1015_), - .C(_1023_), + .Y(_1299_)); + sky130_fd_sc_hd__mux2_1 _3308_ (.A0(_1290_), + .A1(_1299_), + .S(net68), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1034_)); - sky130_fd_sc_hd__or2_1 _4064_ (.A(_1024_), - .B(_1034_), + .X(_1300_)); + sky130_fd_sc_hd__and2b_1 _3309_ (.A_N(_1300_), + .B(\mandelbrot.alu.m1[24] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1035_)); - sky130_fd_sc_hd__inv_2 _4065_ (.A(_1035_), + .X(_1301_)); + sky130_fd_sc_hd__and2b_1 _3310_ (.A_N(\mandelbrot.alu.m1[24] ), + .B(_1300_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1036_)); - sky130_fd_sc_hd__a21oi_1 _4066_ (.A1(_1033_), - .A2(_1036_), - .B1(_1024_), + .X(_1302_)); + sky130_fd_sc_hd__nor2_1 _3311_ (.A(_1301_), + .B(_1302_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1037_)); - sky130_fd_sc_hd__and2b_1 _4067_ (.A_N(_1037_), - .B(_1006_), + .Y(_1303_)); + sky130_fd_sc_hd__nand2_1 _3312_ (.A(_1292_), + .B(_1294_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1038_)); - sky130_fd_sc_hd__a21oi_1 _4068_ (.A1(_0862_), - .A2(_1025_), - .B1(_1031_), + .Y(_1304_)); + sky130_fd_sc_hd__a21boi_2 _3313_ (.A1(_1292_), + .A2(_1294_), + .B1_N(_1303_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1039_)); - sky130_fd_sc_hd__nor2_1 _4069_ (.A(net24), - .B(_1039_), + .Y(_1305_)); + sky130_fd_sc_hd__or2_1 _3314_ (.A(_1303_), + .B(_1304_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1040_)); - sky130_fd_sc_hd__and2_1 _4070_ (.A(net24), - .B(_1039_), + .X(_1306_)); + sky130_fd_sc_hd__and2b_1 _3315_ (.A_N(_1305_), + .B(_1306_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1041_)); - sky130_fd_sc_hd__nor2_1 _4071_ (.A(_1040_), - .B(_1041_), + .X(_1307_)); + sky130_fd_sc_hd__mux2_1 _3316_ (.A0(\mandelbrot.alu.m1[24] ), + .A1(_1307_), + .S(net66), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1042_)); - sky130_fd_sc_hd__and2b_1 _4072_ (.A_N(_1006_), - .B(_1037_), + .X(_1308_)); + sky130_fd_sc_hd__a22o_1 _3317_ (.A1(\mandelbrot.alu.m1[22] ), + .A2(net13), + .B1(_1308_), + .B2(net125), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1043_)); - sky130_fd_sc_hd__nor2_1 _4073_ (.A(_1038_), - .B(_1043_), + .X(_0067_)); + sky130_fd_sc_hd__a21o_1 _3318_ (.A1(net105), + .A2(\mandelbrot.alu.in_zr[8] ), + .B1(_1298_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1044_)); - sky130_fd_sc_hd__a21oi_1 _4074_ (.A1(_1042_), - .A2(_1044_), - .B1(_1038_), + .X(_1309_)); + sky130_fd_sc_hd__xor2_1 _3319_ (.A(\mandelbrot.alu.in_zr[9] ), + .B(_1309_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1045_)); - sky130_fd_sc_hd__xor2_1 _4075_ (.A(_1005_), - .B(_1045_), + .X(_1310_)); + sky130_fd_sc_hd__nand2_1 _3320_ (.A(_1219_), + .B(_1299_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1046_)); - sky130_fd_sc_hd__nand2_1 _4076_ (.A(_1040_), - .B(_1046_), + .Y(_1311_)); + sky130_fd_sc_hd__or2_1 _3321_ (.A(_1219_), + .B(_1310_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1047_)); - sky130_fd_sc_hd__o21ai_1 _4077_ (.A1(_1005_), - .A2(_1045_), - .B1(_1047_), + .X(_1312_)); + sky130_fd_sc_hd__a21oi_1 _3322_ (.A1(_1311_), + .A2(_1312_), + .B1(\mandelbrot.alu.m1[25] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1048_)); - sky130_fd_sc_hd__xnor2_1 _4078_ (.A(_0991_), - .B(_0999_), + .Y(_1313_)); + sky130_fd_sc_hd__and3_1 _3323_ (.A(\mandelbrot.alu.m1[25] ), + .B(_1311_), + .C(_1312_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1049_)); - sky130_fd_sc_hd__and2b_1 _4079_ (.A_N(_1049_), - .B(_1048_), + .X(_1314_)); + sky130_fd_sc_hd__o22a_1 _3324_ (.A1(_1301_), + .A2(_1305_), + .B1(_1313_), + .B2(_1314_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1050_)); - sky130_fd_sc_hd__or2_1 _4080_ (.A(_1040_), - .B(_1046_), + .X(_1315_)); + sky130_fd_sc_hd__or2_1 _3325_ (.A(_1301_), + .B(_1314_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1051_)); - sky130_fd_sc_hd__nand2_1 _4081_ (.A(_1047_), - .B(_1051_), + .X(_1316_)); + sky130_fd_sc_hd__o31ai_1 _3326_ (.A1(_1305_), + .A2(_1313_), + .A3(_1316_), + .B1(net65), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1052_)); - sky130_fd_sc_hd__xor2_1 _4082_ (.A(_1042_), - .B(_1044_), + .Y(_1317_)); + sky130_fd_sc_hd__o221a_1 _3327_ (.A1(\mandelbrot.alu.m1[25] ), + .A2(net65), + .B1(_1315_), + .B2(_1317_), + .C1(net129), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1053_)); - sky130_fd_sc_hd__xnor2_1 _4083_ (.A(_1033_), - .B(_1035_), + .X(_1318_)); + sky130_fd_sc_hd__a31o_1 _3328_ (.A1(net96), + .A2(\mandelbrot.alu.m1[23] ), + .A3(net40), + .B1(_1318_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1054_)); - sky130_fd_sc_hd__xnor2_1 _4084_ (.A(_1020_), - .B(_1022_), + .X(_0068_)); + sky130_fd_sc_hd__a21o_1 _3329_ (.A1(net105), + .A2(\mandelbrot.alu.in_zr[9] ), + .B1(_1309_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1055_)); - sky130_fd_sc_hd__xnor2_1 _4085_ (.A(_1011_), - .B(_1013_), + .X(_1319_)); + sky130_fd_sc_hd__xnor2_1 _3330_ (.A(\mandelbrot.alu.in_zr[10] ), + .B(_1319_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1056_)); - sky130_fd_sc_hd__nor2_1 _4086_ (.A(_2303_), - .B(net36), + .Y(_1320_)); + sky130_fd_sc_hd__or2_1 _3331_ (.A(net69), + .B(_1310_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1057_)); - sky130_fd_sc_hd__nand2_1 _4087_ (.A(net76), - .B(net108), + .X(_1321_)); + sky130_fd_sc_hd__nand2_1 _3332_ (.A(net69), + .B(_1320_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1058_)); - sky130_fd_sc_hd__a22o_1 _4088_ (.A1(_1817_), - .A2(\mandelbrot.alu.in_zi[10] ), - .B1(net104), - .B2(net80), + .Y(_1322_)); + sky130_fd_sc_hd__nand2_1 _3333_ (.A(_1321_), + .B(_1322_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1059_)); - sky130_fd_sc_hd__o21a_1 _4089_ (.A1(_2303_), - .A2(net36), - .B1(_1059_), + .Y(_1323_)); + sky130_fd_sc_hd__xnor2_1 _3334_ (.A(\mandelbrot.alu.m1[26] ), + .B(_1323_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1060_)); - sky130_fd_sc_hd__a31o_1 _4090_ (.A1(net77), - .A2(net109), - .A3(_1059_), - .B1(_1057_), + .Y(_1324_)); + sky130_fd_sc_hd__o21ba_1 _3335_ (.A1(_1305_), + .A2(_1316_), + .B1_N(_1313_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1061_)); - sky130_fd_sc_hd__nand2_1 _4091_ (.A(_1056_), - .B(_1061_), + .X(_1325_)); + sky130_fd_sc_hd__xor2_1 _3336_ (.A(_1324_), + .B(_1325_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1062_)); - sky130_fd_sc_hd__nand2_1 _4092_ (.A(_1858_), - .B(_2411_), + .X(_1326_)); + sky130_fd_sc_hd__mux2_1 _3337_ (.A0(\mandelbrot.alu.m1[26] ), + .A1(_1326_), + .S(net65), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1063_)); - sky130_fd_sc_hd__a22o_1 _4093_ (.A1(net65), - .A2(net118), - .B1(net114), - .B2(net70), + .X(_1327_)); + sky130_fd_sc_hd__a22o_1 _3338_ (.A1(\mandelbrot.alu.m1[24] ), + .A2(_0537_), + .B1(_1327_), + .B2(net129), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1064_)); - sky130_fd_sc_hd__and3_1 _4094_ (.A(_0864_), - .B(_1063_), - .C(_1064_), + .X(_0069_)); + sky130_fd_sc_hd__a21oi_1 _3339_ (.A1(net105), + .A2(\mandelbrot.alu.in_zr[10] ), + .B1(_1319_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1065_)); - sky130_fd_sc_hd__a21oi_1 _4095_ (.A1(_1063_), - .A2(_1064_), - .B1(_0864_), + .Y(_1328_)); + sky130_fd_sc_hd__xnor2_1 _3340_ (.A(\mandelbrot.alu.in_zr[11] ), + .B(_1328_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1066_)); - sky130_fd_sc_hd__or2_1 _4096_ (.A(_1065_), - .B(_1066_), + .Y(_1329_)); + sky130_fd_sc_hd__nand2_1 _3341_ (.A(_1219_), + .B(_1320_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1067_)); - sky130_fd_sc_hd__or2_1 _4097_ (.A(_1056_), - .B(_1061_), + .Y(_1330_)); + sky130_fd_sc_hd__or2_1 _3342_ (.A(_1219_), + .B(_1329_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1068_)); - sky130_fd_sc_hd__nand2_1 _4098_ (.A(_1062_), - .B(_1068_), + .X(_1331_)); + sky130_fd_sc_hd__a21o_1 _3343_ (.A1(_1330_), + .A2(_1331_), + .B1(\mandelbrot.alu.m1[27] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1069_)); - sky130_fd_sc_hd__or2_1 _4099_ (.A(_1067_), - .B(_1069_), + .X(_1332_)); + sky130_fd_sc_hd__inv_2 _3344_ (.A(_1332_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1070_)); - sky130_fd_sc_hd__a21oi_1 _4100_ (.A1(_1062_), - .A2(_1070_), - .B1(_1055_), + .Y(_1333_)); + sky130_fd_sc_hd__and3_1 _3345_ (.A(\mandelbrot.alu.m1[27] ), + .B(_1330_), + .C(_1331_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1071_)); - sky130_fd_sc_hd__a21o_1 _4101_ (.A1(_1858_), - .A2(_2411_), - .B1(_1065_), + .X(_1334_)); + sky130_fd_sc_hd__a32o_1 _3346_ (.A1(\mandelbrot.alu.m1[26] ), + .A2(_1321_), + .A3(_1322_), + .B1(_1324_), + .B2(_1325_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1072_)); - sky130_fd_sc_hd__a21oi_1 _4102_ (.A1(net52), - .A2(net127), - .B1(_1028_), + .X(_1335_)); + sky130_fd_sc_hd__o21a_1 _3347_ (.A1(_1333_), + .A2(_1334_), + .B1(_1335_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1073_)); - sky130_fd_sc_hd__nor2_1 _4103_ (.A(_1029_), - .B(_1073_), + .X(_1336_)); + sky130_fd_sc_hd__o31ai_1 _3348_ (.A1(_1333_), + .A2(_1334_), + .A3(_1335_), + .B1(net65), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1074_)); - sky130_fd_sc_hd__nand2_1 _4104_ (.A(_1072_), - .B(_1074_), + .Y(_1337_)); + sky130_fd_sc_hd__o221a_1 _3349_ (.A1(\mandelbrot.alu.m1[27] ), + .A2(net65), + .B1(_1336_), + .B2(_1337_), + .C1(net129), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1075_)); - sky130_fd_sc_hd__or2_1 _4105_ (.A(_1072_), - .B(_1074_), + .X(_1338_)); + sky130_fd_sc_hd__a31o_1 _3350_ (.A1(\mandelbrot.alu.finished ), + .A2(\mandelbrot.alu.m1[25] ), + .A3(_1628_), + .B1(_1338_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1076_)); - sky130_fd_sc_hd__nand2_1 _4106_ (.A(_1075_), - .B(_1076_), + .X(_0070_)); + sky130_fd_sc_hd__a21bo_1 _3351_ (.A1(net105), + .A2(\mandelbrot.alu.in_zr[11] ), + .B1_N(_1328_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1077_)); - sky130_fd_sc_hd__nand2_1 _4107_ (.A(net61), - .B(_0859_), + .X(_1339_)); + sky130_fd_sc_hd__xor2_1 _3352_ (.A(\mandelbrot.alu.in_zr[12] ), + .B(_1339_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1078_)); - sky130_fd_sc_hd__a22oi_1 _4108_ (.A1(net52), - .A2(net139), - .B1(net122), - .B2(net61), + .X(_1340_)); + sky130_fd_sc_hd__mux2_1 _3353_ (.A0(_1329_), + .A1(_1340_), + .S(net69), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1079_)); - sky130_fd_sc_hd__a21oi_1 _4109_ (.A1(net61), - .A2(_0859_), - .B1(_1079_), + .X(_1341_)); + sky130_fd_sc_hd__and2_1 _3354_ (.A(\mandelbrot.alu.m1[28] ), + .B(_1341_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1080_)); - sky130_fd_sc_hd__nand2_1 _4110_ (.A(\mandelbrot.alu.in_zr[9] ), - .B(net128), + .X(_1342_)); + sky130_fd_sc_hd__nor2_1 _3355_ (.A(\mandelbrot.alu.m1[28] ), + .B(_1341_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1081_)); - sky130_fd_sc_hd__o21a_1 _4111_ (.A1(_1079_), - .A2(_1081_), - .B1(_1078_), + .Y(_1343_)); + sky130_fd_sc_hd__nor2_1 _3356_ (.A(_1342_), + .B(_1343_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1082_)); - sky130_fd_sc_hd__xnor2_1 _4112_ (.A(_1077_), - .B(_1082_), + .Y(_1344_)); + sky130_fd_sc_hd__o21a_1 _3357_ (.A1(_1334_), + .A2(_1335_), + .B1(_1332_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1083_)); - sky130_fd_sc_hd__and3_1 _4113_ (.A(_1055_), - .B(_1062_), - .C(_1070_), + .X(_1345_)); + sky130_fd_sc_hd__o211a_1 _3358_ (.A1(_1334_), + .A2(_1335_), + .B1(_1344_), + .C1(_1332_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1084_)); - sky130_fd_sc_hd__or2_1 _4114_ (.A(_1071_), - .B(_1084_), + .X(_1346_)); + sky130_fd_sc_hd__nor2_1 _3359_ (.A(_1344_), + .B(_1345_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1085_)); - sky130_fd_sc_hd__nor2_1 _4115_ (.A(_1083_), - .B(_1085_), + .Y(_1347_)); + sky130_fd_sc_hd__nor2_1 _3360_ (.A(_1346_), + .B(_1347_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1086_)); - sky130_fd_sc_hd__o21a_1 _4116_ (.A1(_1071_), - .A2(_1086_), - .B1(_1054_), + .Y(_1348_)); + sky130_fd_sc_hd__mux2_1 _3361_ (.A0(\mandelbrot.alu.m1[28] ), + .A1(_1348_), + .S(net67), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1087_)); - sky130_fd_sc_hd__o21a_1 _4117_ (.A1(_1077_), - .A2(_1082_), - .B1(_1075_), + .X(_1349_)); + sky130_fd_sc_hd__a22o_1 _3362_ (.A1(\mandelbrot.alu.m1[26] ), + .A2(net14), + .B1(_1349_), + .B2(net129), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1088_)); - sky130_fd_sc_hd__nor2_1 _4118_ (.A(net24), - .B(_1088_), + .X(_0071_)); + sky130_fd_sc_hd__a21o_1 _3363_ (.A1(net105), + .A2(\mandelbrot.alu.in_zr[12] ), + .B1(_1339_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1089_)); - sky130_fd_sc_hd__and2_1 _4119_ (.A(net24), - .B(_1088_), + .X(_1350_)); + sky130_fd_sc_hd__xnor2_1 _3364_ (.A(\mandelbrot.alu.in_zr[13] ), + .B(_1350_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1090_)); - sky130_fd_sc_hd__or2_1 _4120_ (.A(_1089_), - .B(_1090_), + .Y(_1351_)); + sky130_fd_sc_hd__or2_1 _3365_ (.A(net69), + .B(_1340_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1091_)); - sky130_fd_sc_hd__nor3_1 _4121_ (.A(_1054_), - .B(_1071_), - .C(_1086_), + .X(_1352_)); + sky130_fd_sc_hd__nand2_1 _3366_ (.A(net69), + .B(_1351_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1092_)); - sky130_fd_sc_hd__or2_1 _4122_ (.A(_1087_), - .B(_1092_), + .Y(_1353_)); + sky130_fd_sc_hd__and3_1 _3367_ (.A(\mandelbrot.alu.m1[29] ), + .B(_1352_), + .C(_1353_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1093_)); - sky130_fd_sc_hd__nor2_1 _4123_ (.A(_1091_), - .B(_1093_), + .X(_1354_)); + sky130_fd_sc_hd__a21o_1 _3368_ (.A1(_1352_), + .A2(_1353_), + .B1(\mandelbrot.alu.m1[29] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1094_)); - sky130_fd_sc_hd__o21a_1 _4124_ (.A1(_1087_), - .A2(_1094_), - .B1(_1053_), + .X(_1355_)); + sky130_fd_sc_hd__nand2b_1 _3369_ (.A_N(_1354_), + .B(_1355_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1095_)); - sky130_fd_sc_hd__nor3_1 _4125_ (.A(_1053_), - .B(_1087_), - .C(_1094_), + .Y(_1356_)); + sky130_fd_sc_hd__o21a_1 _3370_ (.A1(_1342_), + .A2(_1346_), + .B1(_1356_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1096_)); - sky130_fd_sc_hd__nor2_1 _4126_ (.A(_1095_), - .B(_1096_), + .X(_1357_)); + sky130_fd_sc_hd__o31ai_1 _3371_ (.A1(_1342_), + .A2(_1346_), + .A3(_1356_), + .B1(net67), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1097_)); - sky130_fd_sc_hd__a21oi_1 _4127_ (.A1(_1089_), - .A2(_1097_), - .B1(_1095_), + .Y(_1358_)); + sky130_fd_sc_hd__o221a_1 _3372_ (.A1(\mandelbrot.alu.m1[29] ), + .A2(net67), + .B1(_1357_), + .B2(_1358_), + .C1(net129), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1098_)); - sky130_fd_sc_hd__nor2_1 _4128_ (.A(_1052_), - .B(_1098_), + .X(_1359_)); + sky130_fd_sc_hd__a31o_1 _3373_ (.A1(\mandelbrot.alu.finished ), + .A2(\mandelbrot.alu.m1[27] ), + .A3(_1628_), + .B1(_1359_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1099_)); - sky130_fd_sc_hd__and2_1 _4129_ (.A(_1052_), - .B(_1098_), + .X(_0072_)); + sky130_fd_sc_hd__a21oi_1 _3374_ (.A1(net105), + .A2(\mandelbrot.alu.in_zr[13] ), + .B1(_1350_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1100_)); - sky130_fd_sc_hd__nor2_1 _4130_ (.A(_1099_), - .B(_1100_), + .Y(_1360_)); + sky130_fd_sc_hd__nand2b_1 _3375_ (.A_N(\mandelbrot.alu.in_zr[14] ), + .B(_1360_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1101_)); - sky130_fd_sc_hd__xnor2_1 _4131_ (.A(_1089_), - .B(_1097_), + .Y(_1361_)); + sky130_fd_sc_hd__xnor2_1 _3376_ (.A(\mandelbrot.alu.in_zr[14] ), + .B(_1360_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1102_)); - sky130_fd_sc_hd__xnor2_1 _4132_ (.A(_1091_), - .B(_1093_), + .Y(_1362_)); + sky130_fd_sc_hd__nand2_1 _3377_ (.A(_1219_), + .B(_1351_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1103_)); - sky130_fd_sc_hd__and2_1 _4133_ (.A(_1083_), - .B(_1085_), + .Y(_1363_)); + sky130_fd_sc_hd__or2_1 _3378_ (.A(_1219_), + .B(_1362_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1104_)); - sky130_fd_sc_hd__nor2_1 _4134_ (.A(_1086_), - .B(_1104_), + .X(_1364_)); + sky130_fd_sc_hd__and3_1 _3379_ (.A(\mandelbrot.alu.m1[30] ), + .B(_1363_), + .C(_1364_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1105_)); - sky130_fd_sc_hd__xnor2_1 _4135_ (.A(_1067_), - .B(_1069_), + .X(_1365_)); + sky130_fd_sc_hd__a21oi_1 _3380_ (.A1(_1363_), + .A2(_1364_), + .B1(\mandelbrot.alu.m1[30] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1106_)); - sky130_fd_sc_hd__xnor2_1 _4136_ (.A(_1058_), - .B(_1060_), + .Y(_1366_)); + sky130_fd_sc_hd__nor2_1 _3381_ (.A(_1365_), + .B(_1366_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1107_)); - sky130_fd_sc_hd__and4_1 _4137_ (.A(_1815_), - .B(net84), - .C(net99), - .D(net103), + .Y(_1367_)); + sky130_fd_sc_hd__o31a_1 _3382_ (.A1(_1342_), + .A2(_1346_), + .A3(_1354_), + .B1(_1355_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1108_)); - sky130_fd_sc_hd__a22o_1 _4138_ (.A1(_1815_), - .A2(net99), - .B1(net103), - .B2(net84), + .X(_1368_)); + sky130_fd_sc_hd__and2_1 _3383_ (.A(_1367_), + .B(_1368_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1109_)); - sky130_fd_sc_hd__inv_2 _4139_ (.A(_1109_), + .X(_1369_)); + sky130_fd_sc_hd__nor2_1 _3384_ (.A(_1367_), + .B(_1368_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1110_)); - sky130_fd_sc_hd__and4b_1 _4140_ (.A_N(_1108_), - .B(_1109_), - .C(net80), - .D(net108), + .Y(_1370_)); + sky130_fd_sc_hd__nor2_1 _3385_ (.A(_1369_), + .B(_1370_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1111_)); - sky130_fd_sc_hd__nor2_1 _4141_ (.A(_1108_), - .B(_1111_), + .Y(_1371_)); + sky130_fd_sc_hd__mux2_1 _3386_ (.A0(\mandelbrot.alu.m1[30] ), + .A1(_1371_), + .S(net65), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1112_)); - sky130_fd_sc_hd__nand2b_1 _4142_ (.A_N(_1112_), - .B(_1107_), + .X(_1372_)); + sky130_fd_sc_hd__a22o_1 _3387_ (.A1(\mandelbrot.alu.m1[28] ), + .A2(net14), + .B1(_1372_), + .B2(net129), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1113_)); - sky130_fd_sc_hd__nor2_1 _4143_ (.A(_2116_), - .B(net37), + .X(_0073_)); + sky130_fd_sc_hd__nand2_1 _3388_ (.A(net105), + .B(_1361_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1114_)); - sky130_fd_sc_hd__a22o_1 _4144_ (.A1(net70), - .A2(net118), - .B1(net114), - .B2(net74), + .Y(_1373_)); + sky130_fd_sc_hd__xnor2_1 _3389_ (.A(\mandelbrot.alu.in_zr[15] ), + .B(_1373_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1115_)); - sky130_fd_sc_hd__o21ai_1 _4145_ (.A1(_2116_), - .A2(net37), - .B1(_1115_), + .Y(_1374_)); + sky130_fd_sc_hd__mux2_1 _3390_ (.A0(_1362_), + .A1(_1374_), + .S(net69), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1116_)); - sky130_fd_sc_hd__nand2_1 _4146_ (.A(\mandelbrot.alu.in_zr[9] ), - .B(net133), + .X(_1375_)); + sky130_fd_sc_hd__nand2_1 _3391_ (.A(\mandelbrot.alu.m1[31] ), + .B(_1375_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1117_)); - sky130_fd_sc_hd__xnor2_1 _4147_ (.A(_1116_), - .B(_1117_), + .Y(_1376_)); + sky130_fd_sc_hd__or2_1 _3392_ (.A(\mandelbrot.alu.m1[31] ), + .B(_1375_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1118_)); - sky130_fd_sc_hd__xnor2_1 _4148_ (.A(_1107_), - .B(_1112_), + .X(_1377_)); + sky130_fd_sc_hd__nand2_1 _3393_ (.A(_1376_), + .B(_1377_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1119_)); - sky130_fd_sc_hd__nand2b_1 _4149_ (.A_N(_1118_), - .B(_1119_), + .Y(_1378_)); + sky130_fd_sc_hd__nor2_1 _3394_ (.A(_1365_), + .B(_1369_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1120_)); - sky130_fd_sc_hd__a21oi_1 _4150_ (.A1(_1113_), - .A2(_1120_), - .B1(_1106_), + .Y(_1379_)); + sky130_fd_sc_hd__xnor2_1 _3395_ (.A(_1378_), + .B(_1379_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1121_)); - sky130_fd_sc_hd__a31o_1 _4151_ (.A1(net56), - .A2(net133), - .A3(_1115_), - .B1(_1114_), + .Y(_1380_)); + sky130_fd_sc_hd__nand2_1 _3396_ (.A(net65), + .B(_1380_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1122_)); - sky130_fd_sc_hd__xnor2_1 _4152_ (.A(_1080_), - .B(_1081_), + .Y(_1381_)); + sky130_fd_sc_hd__o21a_1 _3397_ (.A1(\mandelbrot.alu.m1[31] ), + .A2(net65), + .B1(net130), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1123_)); - sky130_fd_sc_hd__nand2_1 _4153_ (.A(_1122_), - .B(_1123_), + .X(_1382_)); + sky130_fd_sc_hd__a22o_1 _3398_ (.A1(\mandelbrot.alu.m1[29] ), + .A2(net14), + .B1(_1381_), + .B2(_1382_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1124_)); - sky130_fd_sc_hd__or2_1 _4154_ (.A(_1122_), - .B(_1123_), + .X(_0074_)); + sky130_fd_sc_hd__o21a_1 _3399_ (.A1(_1378_), + .A2(_1379_), + .B1(_1376_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1125_)); - sky130_fd_sc_hd__nand2_1 _4155_ (.A(_1124_), - .B(_1125_), + .X(_1383_)); + sky130_fd_sc_hd__nand2b_1 _3400_ (.A_N(\mandelbrot.alu.m1[1] ), + .B(\mandelbrot.alu.in_zr[15] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1126_)); - sky130_fd_sc_hd__a22oi_1 _4156_ (.A1(net52), - .A2(net139), - .B1(net122), - .B2(net65), + .Y(_1384_)); + sky130_fd_sc_hd__o21ai_1 _3401_ (.A1(\mandelbrot.alu.in_zr[15] ), + .A2(_1373_), + .B1(_1384_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1127_)); - sky130_fd_sc_hd__a21oi_1 _4157_ (.A1(net65), - .A2(_0859_), - .B1(_1127_), + .Y(_1385_)); + sky130_fd_sc_hd__o21a_1 _3402_ (.A1(_1217_), + .A2(_1361_), + .B1(_1374_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1128_)); - sky130_fd_sc_hd__and3_1 _4158_ (.A(net61), - .B(net128), - .C(_1128_), + .X(_1386_)); + sky130_fd_sc_hd__nand2_1 _3403_ (.A(\mandelbrot.alu.m1[31] ), + .B(_1386_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1129_)); - sky130_fd_sc_hd__a21oi_1 _4159_ (.A1(net65), - .A2(_0859_), - .B1(_1129_), + .Y(_1387_)); + sky130_fd_sc_hd__or2_1 _3404_ (.A(\mandelbrot.alu.m1[31] ), + .B(_1386_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1130_)); - sky130_fd_sc_hd__xnor2_1 _4160_ (.A(_1126_), - .B(_1130_), + .X(_1388_)); + sky130_fd_sc_hd__nand2_1 _3405_ (.A(_1387_), + .B(_1388_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1131_)); - sky130_fd_sc_hd__and3_1 _4161_ (.A(_1106_), - .B(_1113_), - .C(_1120_), + .Y(_1389_)); + sky130_fd_sc_hd__xnor2_1 _3406_ (.A(_1383_), + .B(_1389_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1132_)); - sky130_fd_sc_hd__or2_1 _4162_ (.A(_1121_), - .B(_1132_), + .Y(_1390_)); + sky130_fd_sc_hd__nand2_1 _3407_ (.A(net65), + .B(_1390_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1133_)); - sky130_fd_sc_hd__nor2_1 _4163_ (.A(_1131_), - .B(_1133_), + .Y(_1391_)); + sky130_fd_sc_hd__a22o_1 _3408_ (.A1(\mandelbrot.alu.m1[30] ), + .A2(net14), + .B1(_1382_), + .B2(_1391_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1134_)); - sky130_fd_sc_hd__nor2_1 _4164_ (.A(_1121_), - .B(_1134_), + .X(_0075_)); + sky130_fd_sc_hd__and3b_2 _3409_ (.A_N(\l_sclk[2] ), + .B(\l_sclk[1] ), + .C(\l_sen[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1135_)); - sky130_fd_sc_hd__o21a_1 _4165_ (.A1(_1121_), - .A2(_1134_), - .B1(_1105_), + .X(_1392_)); + sky130_fd_sc_hd__mux2_1 _3410_ (.A0(net155), + .A1(net161), + .S(net84), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1136_)); - sky130_fd_sc_hd__o21a_1 _4166_ (.A1(_1126_), - .A2(_1130_), - .B1(_1124_), + .X(_0076_)); + sky130_fd_sc_hd__mux2_1 _3411_ (.A0(net161), + .A1(net211), + .S(net83), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1137_)); - sky130_fd_sc_hd__nor2_1 _4167_ (.A(net24), - .B(_1137_), + .X(_0077_)); + sky130_fd_sc_hd__mux2_1 _3412_ (.A0(\mandelbrot.cr_offset[2] ), + .A1(net158), + .S(net83), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1138_)); - sky130_fd_sc_hd__and2_1 _4168_ (.A(net24), - .B(_1137_), + .X(_0078_)); + sky130_fd_sc_hd__mux2_1 _3413_ (.A0(net158), + .A1(net201), + .S(net81), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1139_)); - sky130_fd_sc_hd__nor2_1 _4169_ (.A(_1138_), - .B(_1139_), + .X(_0079_)); + sky130_fd_sc_hd__mux2_1 _3414_ (.A0(net201), + .A1(net163), + .S(net81), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1140_)); - sky130_fd_sc_hd__xnor2_1 _4170_ (.A(_1105_), - .B(_1135_), + .X(_0080_)); + sky130_fd_sc_hd__mux2_1 _3415_ (.A0(net163), + .A1(\mandelbrot.cr_offset[6] ), + .S(net83), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1141_)); - sky130_fd_sc_hd__a21oi_1 _4171_ (.A1(_1140_), - .A2(_1141_), - .B1(_1136_), + .X(_0081_)); + sky130_fd_sc_hd__mux2_1 _3416_ (.A0(net207), + .A1(net198), + .S(net83), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1142_)); - sky130_fd_sc_hd__xor2_1 _4172_ (.A(_1103_), - .B(_1142_), + .X(_0082_)); + sky130_fd_sc_hd__mux2_1 _3417_ (.A0(net198), + .A1(\mandelbrot.cr_offset[8] ), + .S(net83), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1143_)); - sky130_fd_sc_hd__nand2_1 _4173_ (.A(_1138_), - .B(_1143_), + .X(_0083_)); + sky130_fd_sc_hd__mux2_1 _3418_ (.A0(net205), + .A1(\mandelbrot.cr_offset[9] ), + .S(net83), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1144_)); - sky130_fd_sc_hd__o21a_1 _4174_ (.A1(_1103_), - .A2(_1142_), - .B1(_1144_), + .X(_0084_)); + sky130_fd_sc_hd__mux2_1 _3419_ (.A0(net208), + .A1(net202), + .S(net84), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1145_)); - sky130_fd_sc_hd__nor2_1 _4175_ (.A(_1102_), - .B(_1145_), + .X(_0085_)); + sky130_fd_sc_hd__mux2_1 _3420_ (.A0(net202), + .A1(\mandelbrot.cr_offset[11] ), + .S(net84), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1146_)); - sky130_fd_sc_hd__or2_1 _4176_ (.A(_1138_), - .B(_1143_), + .X(_0086_)); + sky130_fd_sc_hd__mux2_1 _3421_ (.A0(\mandelbrot.cr_offset[11] ), + .A1(net150), + .S(net84), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1147_)); - sky130_fd_sc_hd__nand2_1 _4177_ (.A(_1144_), - .B(_1147_), + .X(_0087_)); + sky130_fd_sc_hd__mux2_1 _3422_ (.A0(net150), + .A1(net182), + .S(net84), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1148_)); - sky130_fd_sc_hd__xnor2_1 _4178_ (.A(_1140_), - .B(_1141_), + .X(_0088_)); + sky130_fd_sc_hd__mux2_1 _3423_ (.A0(net182), + .A1(net160), + .S(net85), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1149_)); - sky130_fd_sc_hd__xnor2_1 _4179_ (.A(_1131_), - .B(_1133_), + .X(_0089_)); + sky130_fd_sc_hd__mux2_1 _3424_ (.A0(net160), + .A1(net197), + .S(net85), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1150_)); - sky130_fd_sc_hd__xor2_1 _4180_ (.A(_1118_), - .B(_1119_), + .X(_0090_)); + sky130_fd_sc_hd__mux2_1 _3425_ (.A0(net197), + .A1(net194), + .S(net84), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1151_)); - sky130_fd_sc_hd__o22a_1 _4181_ (.A1(_1821_), - .A2(_1825_), - .B1(_1108_), - .B2(_1110_), + .X(_0091_)); + sky130_fd_sc_hd__mux2_1 _3426_ (.A0(net194), + .A1(\mandelbrot.ci_offset[1] ), + .S(net83), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1152_)); - sky130_fd_sc_hd__nor2_1 _4182_ (.A(_1111_), - .B(_1152_), + .X(_0092_)); + sky130_fd_sc_hd__mux2_1 _3427_ (.A0(\mandelbrot.ci_offset[1] ), + .A1(net156), + .S(net83), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1153_)); - sky130_fd_sc_hd__nor2_1 _4183_ (.A(net36), - .B(_0659_), + .X(_0093_)); + sky130_fd_sc_hd__mux2_1 _3428_ (.A0(net156), + .A1(net183), + .S(net82), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1154_)); - sky130_fd_sc_hd__nand2_1 _4184_ (.A(net89), - .B(net108), + .X(_0094_)); + sky130_fd_sc_hd__mux2_1 _3429_ (.A0(net183), + .A1(net177), + .S(net82), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1155_)); - sky130_fd_sc_hd__a2bb2o_1 _4185_ (.A1_N(net97), - .A2_N(net43), - .B1(net103), - .B2(net93), + .X(_0095_)); + sky130_fd_sc_hd__mux2_1 _3430_ (.A0(net177), + .A1(net168), + .S(net81), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1156_)); - sky130_fd_sc_hd__and2b_1 _4186_ (.A_N(_1154_), - .B(_1156_), + .X(_0096_)); + sky130_fd_sc_hd__mux2_1 _3431_ (.A0(net168), + .A1(\mandelbrot.ci_offset[6] ), + .S(net81), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1157_)); - sky130_fd_sc_hd__a31o_1 _4187_ (.A1(net89), - .A2(net111), - .A3(_1156_), - .B1(_1154_), + .X(_0097_)); + sky130_fd_sc_hd__mux2_1 _3432_ (.A0(net172), + .A1(net170), + .S(net81), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1158_)); - sky130_fd_sc_hd__nand2_1 _4188_ (.A(_1153_), - .B(_1158_), + .X(_0098_)); + sky130_fd_sc_hd__mux2_1 _3433_ (.A0(net170), + .A1(\mandelbrot.ci_offset[8] ), + .S(net81), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1159_)); - sky130_fd_sc_hd__a22o_1 _4189_ (.A1(net74), - .A2(net118), - .B1(net114), - .B2(net79), + .X(_0099_)); + sky130_fd_sc_hd__mux2_1 _3434_ (.A0(net190), + .A1(net180), + .S(net82), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1160_)); - sky130_fd_sc_hd__nor2_1 _4190_ (.A(_2177_), - .B(net38), + .X(_0100_)); + sky130_fd_sc_hd__mux2_1 _3435_ (.A0(net180), + .A1(net174), + .S(net82), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1161_)); - sky130_fd_sc_hd__o21a_1 _4191_ (.A1(_2177_), - .A2(net38), - .B1(_1160_), + .X(_0101_)); + sky130_fd_sc_hd__mux2_1 _3436_ (.A0(net174), + .A1(\mandelbrot.ci_offset[11] ), + .S(net82), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1162_)); - sky130_fd_sc_hd__nand2_1 _4192_ (.A(net61), - .B(net134), + .X(_0102_)); + sky130_fd_sc_hd__mux2_1 _3437_ (.A0(net209), + .A1(net188), + .S(net82), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1163_)); - sky130_fd_sc_hd__xnor2_1 _4193_ (.A(_1162_), - .B(_1163_), + .X(_0103_)); + sky130_fd_sc_hd__mux2_1 _3438_ (.A0(net188), + .A1(net184), + .S(net82), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1164_)); - sky130_fd_sc_hd__xor2_1 _4194_ (.A(_1153_), - .B(_1158_), + .X(_0104_)); + sky130_fd_sc_hd__mux2_1 _3439_ (.A0(net184), + .A1(\mandelbrot.ci_offset[14] ), + .S(net82), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1165_)); - sky130_fd_sc_hd__nand2_1 _4195_ (.A(_1164_), - .B(_1165_), + .X(_0105_)); + sky130_fd_sc_hd__mux2_1 _3440_ (.A0(net186), + .A1(\mandelbrot.ci_offset[15] ), + .S(net82), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1166_)); - sky130_fd_sc_hd__a21oi_1 _4196_ (.A1(_1159_), - .A2(_1166_), - .B1(_1151_), + .X(_0106_)); + sky130_fd_sc_hd__mux2_1 _3441_ (.A0(net242), + .A1(\mandelbrot.scaling[0] ), + .S(net82), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1167_)); - sky130_fd_sc_hd__a31o_1 _4197_ (.A1(net61), - .A2(net134), - .A3(_1160_), - .B1(_1161_), + .X(_0107_)); + sky130_fd_sc_hd__mux2_1 _3442_ (.A0(\mandelbrot.scaling[0] ), + .A1(net307), + .S(net83), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1168_)); - sky130_fd_sc_hd__a21oi_1 _4198_ (.A1(net61), - .A2(net128), - .B1(_1128_), + .X(_0108_)); + sky130_fd_sc_hd__mux2_1 _3443_ (.A0(\mandelbrot.scaling[1] ), + .A1(net273), + .S(net83), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1169_)); - sky130_fd_sc_hd__nor2_1 _4199_ (.A(_1129_), - .B(_1169_), + .X(_0109_)); + sky130_fd_sc_hd__mux2_1 _3444_ (.A0(net299), + .A1(net303), + .S(net81), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1170_)); - sky130_fd_sc_hd__xor2_1 _4200_ (.A(_1168_), - .B(_1170_), + .X(_0110_)); + sky130_fd_sc_hd__mux2_1 _3445_ (.A0(net303), + .A1(\mandelbrot.scaling[4] ), + .S(net81), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1171_)); - sky130_fd_sc_hd__and2_1 _4201_ (.A(net63), - .B(net127), + .X(_0111_)); + sky130_fd_sc_hd__mux2_1 _3446_ (.A0(\mandelbrot.scaling[4] ), + .A1(\mandelbrot.scaling[5] ), + .S(net81), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1172_)); - sky130_fd_sc_hd__a22o_1 _4202_ (.A1(net53), - .A2(net138), - .B1(net122), - .B2(net67), + .X(_0112_)); + sky130_fd_sc_hd__mux2_1 _3447_ (.A0(\mandelbrot.scaling[5] ), + .A1(\mandelbrot.scaling[6] ), + .S(net81), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1173_)); - sky130_fd_sc_hd__o21ai_1 _4203_ (.A1(_1861_), - .A2(_0423_), - .B1(_1173_), + .X(_0113_)); + sky130_fd_sc_hd__mux2_1 _3448_ (.A0(\mandelbrot.scaling[6] ), + .A1(\mandelbrot.ctr_select[0] ), + .S(net85), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1174_)); - sky130_fd_sc_hd__o2bb2a_1 _4204_ (.A1_N(_1172_), - .A2_N(_1173_), - .B1(_1861_), - .B2(_0423_), + .X(_0114_)); + sky130_fd_sc_hd__mux2_1 _3449_ (.A0(\mandelbrot.ctr_select[0] ), + .A1(\mandelbrot.ctr_select[1] ), + .S(net86), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1175_)); - sky130_fd_sc_hd__and2b_1 _4205_ (.A_N(_1175_), - .B(_1171_), + .X(_0115_)); + sky130_fd_sc_hd__mux2_1 _3450_ (.A0(\mandelbrot.ctr_select[1] ), + .A1(net101), + .S(net86), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1176_)); - sky130_fd_sc_hd__and2b_1 _4206_ (.A_N(_1171_), - .B(_1175_), + .X(_0116_)); + sky130_fd_sc_hd__mux2_1 _3451_ (.A0(net258), + .A1(\mandelbrot.max_ctr[0] ), + .S(net86), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1177_)); - sky130_fd_sc_hd__nor2_1 _4207_ (.A(_1176_), - .B(_1177_), + .X(_0117_)); + sky130_fd_sc_hd__mux2_1 _3452_ (.A0(net262), + .A1(net252), + .S(net86), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1178_)); - sky130_fd_sc_hd__and3_1 _4208_ (.A(_1151_), - .B(_1159_), - .C(_1166_), + .X(_0118_)); + sky130_fd_sc_hd__mux2_1 _3453_ (.A0(net252), + .A1(net241), + .S(net86), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1179_)); - sky130_fd_sc_hd__nor2_1 _4209_ (.A(_1167_), - .B(_1179_), + .X(_0119_)); + sky130_fd_sc_hd__mux2_1 _3454_ (.A0(net241), + .A1(net232), + .S(net86), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1180_)); - sky130_fd_sc_hd__a21oi_1 _4210_ (.A1(_1178_), - .A2(_1180_), - .B1(_1167_), + .X(_0120_)); + sky130_fd_sc_hd__mux2_1 _3455_ (.A0(net232), + .A1(\mandelbrot.max_ctr[4] ), + .S(net87), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1181_)); - sky130_fd_sc_hd__a21oi_1 _4211_ (.A1(_1168_), - .A2(_1170_), - .B1(_1176_), + .X(_0121_)); + sky130_fd_sc_hd__mux2_1 _3456_ (.A0(net236), + .A1(\mandelbrot.max_ctr[5] ), + .S(net87), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1182_)); - sky130_fd_sc_hd__nor2_1 _4212_ (.A(net25), - .B(_1182_), + .X(_0122_)); + sky130_fd_sc_hd__mux2_1 _3457_ (.A0(net254), + .A1(\mandelbrot.max_ctr[6] ), + .S(net87), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1183_)); - sky130_fd_sc_hd__and2_1 _4213_ (.A(net24), - .B(_1182_), + .X(_0123_)); + sky130_fd_sc_hd__mux2_1 _3458_ (.A0(net280), + .A1(net231), + .S(net86), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1184_)); - sky130_fd_sc_hd__nor2_1 _4214_ (.A(_1183_), - .B(_1184_), + .X(_0124_)); + sky130_fd_sc_hd__mux2_1 _3459_ (.A0(net231), + .A1(net228), + .S(net86), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1185_)); - sky130_fd_sc_hd__xor2_1 _4215_ (.A(_1150_), - .B(_1181_), + .X(_0125_)); + sky130_fd_sc_hd__mux2_1 _3460_ (.A0(net228), + .A1(\mandelbrot.max_ctr[9] ), + .S(net86), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1186_)); - sky130_fd_sc_hd__nand2_1 _4216_ (.A(_1185_), - .B(_1186_), + .X(_0126_)); + sky130_fd_sc_hd__mux2_1 _3461_ (.A0(\mandelbrot.max_ctr[9] ), + .A1(net152), + .S(net86), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1187_)); - sky130_fd_sc_hd__o21a_1 _4217_ (.A1(_1150_), - .A2(_1181_), - .B1(_1187_), + .X(_0127_)); + sky130_fd_sc_hd__mux2_1 _3462_ (.A0(\vga.pixel_buffer[0] ), + .A1(net6), + .S(\vga.l_read ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1188_)); - sky130_fd_sc_hd__xor2_1 _4218_ (.A(_1149_), - .B(_1188_), + .X(_0128_)); + sky130_fd_sc_hd__mux2_1 _3463_ (.A0(\vga.pixel_buffer[1] ), + .A1(net7), + .S(\vga.l_read ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1189_)); - sky130_fd_sc_hd__nand2_1 _4219_ (.A(_1183_), - .B(_1189_), + .X(_0129_)); + sky130_fd_sc_hd__mux2_1 _3464_ (.A0(net238), + .A1(net8), + .S(\vga.l_read ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1190_)); - sky130_fd_sc_hd__o21a_1 _4220_ (.A1(_1149_), - .A2(_1188_), - .B1(_1190_), + .X(_0130_)); + sky130_fd_sc_hd__mux2_1 _3465_ (.A0(net261), + .A1(net9), + .S(\vga.l_read ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1191_)); - sky130_fd_sc_hd__nor2_1 _4221_ (.A(_1148_), - .B(_1191_), + .X(_0131_)); + sky130_fd_sc_hd__o21a_1 _3466_ (.A1(_1274_), + .A2(_1385_), + .B1(\mandelbrot.alu.m1[31] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1192_)); - sky130_fd_sc_hd__or2_1 _4222_ (.A(_1183_), - .B(_1189_), + .X(_1393_)); + sky130_fd_sc_hd__o2111a_1 _3467_ (.A1(_1383_), + .A2(_1389_), + .B1(_1387_), + .C1(net129), + .D1(net67), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1193_)); - sky130_fd_sc_hd__nand2_1 _4223_ (.A(_1190_), - .B(_1193_), + .X(_1394_)); + sky130_fd_sc_hd__o22a_1 _3468_ (.A1(\mandelbrot.alu.m1[31] ), + .A2(_1385_), + .B1(_1393_), + .B2(_1394_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1194_)); - sky130_fd_sc_hd__or2_1 _4224_ (.A(_1185_), - .B(_1186_), + .X(_1395_)); + sky130_fd_sc_hd__o21a_1 _3469_ (.A1(net129), + .A2(_1628_), + .B1(_1395_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1195_)); - sky130_fd_sc_hd__nand2_1 _4225_ (.A(_1187_), - .B(_1195_), + .X(_0132_)); + sky130_fd_sc_hd__dfxtp_1 _3470_ (.CLK(clknet_leaf_13_clk), + .D(net4), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1196_)); - sky130_fd_sc_hd__xor2_1 _4226_ (.A(_1178_), - .B(_1180_), + .Q(\l_sclk[0] )); + sky130_fd_sc_hd__dfxtp_1 _3471_ (.CLK(clknet_leaf_13_clk), + .D(net144), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1197_)); - sky130_fd_sc_hd__xnor2_1 _4227_ (.A(_1164_), - .B(_1165_), + .Q(\l_sclk[1] )); + sky130_fd_sc_hd__dfxtp_1 _3472_ (.CLK(clknet_leaf_13_clk), + .D(net147), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1198_)); - sky130_fd_sc_hd__xnor2_1 _4228_ (.A(_1155_), - .B(_1157_), + .Q(\l_sclk[2] )); + sky130_fd_sc_hd__dfxtp_1 _3473_ (.CLK(clknet_leaf_13_clk), + .D(net3), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1199_)); - sky130_fd_sc_hd__nor2_1 _4229_ (.A(net39), - .B(_2395_), + .Q(\l_sdata[0] )); + sky130_fd_sc_hd__dfxtp_1 _3474_ (.CLK(clknet_leaf_13_clk), + .D(net145), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1200_)); - sky130_fd_sc_hd__xnor2_1 _4230_ (.A(_1199_), - .B(_1200_), + .Q(\l_sdata[1] )); + sky130_fd_sc_hd__dfxtp_1 _3475_ (.CLK(clknet_leaf_13_clk), + .D(net143), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1201_)); - sky130_fd_sc_hd__nand2_1 _4231_ (.A(net63), - .B(net133), + .Q(\l_sdata[2] )); + sky130_fd_sc_hd__dfrtp_1 _3476_ (.CLK(clknet_leaf_7_clk), + .D(_0133_), + .RESET_B(net57), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1202_)); - sky130_fd_sc_hd__nor2_1 _4232_ (.A(_1851_), - .B(net37), + .Q(\vga.timing_v.pixel[0] )); + sky130_fd_sc_hd__dfrtp_1 _3477_ (.CLK(clknet_leaf_6_clk), + .D(_0134_), + .RESET_B(net58), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1203_)); - sky130_fd_sc_hd__a22o_1 _4233_ (.A1(net76), - .A2(net118), - .B1(net114), - .B2(net80), + .Q(\vga.timing_v.pixel[1] )); + sky130_fd_sc_hd__dfrtp_2 _3478_ (.CLK(clknet_leaf_6_clk), + .D(_0135_), + .RESET_B(net57), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1204_)); - sky130_fd_sc_hd__o21a_1 _4234_ (.A1(_1851_), - .A2(net37), - .B1(_1204_), + .Q(\vga.timing_v.pixel[2] )); + sky130_fd_sc_hd__dfrtp_1 _3479_ (.CLK(clknet_leaf_6_clk), + .D(_0136_), + .RESET_B(net57), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1205_)); - sky130_fd_sc_hd__xnor2_1 _4235_ (.A(_1202_), - .B(_1205_), + .Q(\vga.timing_v.pixel[3] )); + sky130_fd_sc_hd__dfrtp_1 _3480_ (.CLK(clknet_leaf_6_clk), + .D(_0137_), + .RESET_B(net57), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1206_)); - sky130_fd_sc_hd__and2b_1 _4236_ (.A_N(_1201_), - .B(_1206_), + .Q(\vga.timing_v.pixel[4] )); + sky130_fd_sc_hd__dfrtp_1 _3481_ (.CLK(clknet_leaf_6_clk), + .D(_0138_), + .RESET_B(net57), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1207_)); - sky130_fd_sc_hd__a21o_1 _4237_ (.A1(_1199_), - .A2(_1200_), - .B1(_1207_), + .Q(\vga.timing_v.pixel[5] )); + sky130_fd_sc_hd__dfrtp_1 _3482_ (.CLK(clknet_leaf_6_clk), + .D(_0139_), + .RESET_B(net57), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1208_)); - sky130_fd_sc_hd__and2b_1 _4238_ (.A_N(_1198_), - .B(_1208_), + .Q(\vga.timing_v.pixel[6] )); + sky130_fd_sc_hd__dfrtp_1 _3483_ (.CLK(clknet_leaf_6_clk), + .D(_0140_), + .RESET_B(net57), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1209_)); - sky130_fd_sc_hd__a31o_1 _4239_ (.A1(net63), - .A2(net133), - .A3(_1204_), - .B1(_1203_), + .Q(\vga.timing_v.pixel[7] )); + sky130_fd_sc_hd__dfrtp_1 _3484_ (.CLK(clknet_leaf_6_clk), + .D(_0141_), + .RESET_B(net57), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1210_)); - sky130_fd_sc_hd__xnor2_1 _4240_ (.A(_1172_), - .B(_1174_), + .Q(\vga.timing_v.pixel[8] )); + sky130_fd_sc_hd__dfrtp_1 _3485_ (.CLK(clknet_leaf_6_clk), + .D(_0142_), + .RESET_B(net57), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1211_)); - sky130_fd_sc_hd__xor2_1 _4241_ (.A(_1210_), - .B(_1211_), + .Q(\vga.timing_v.pixel[9] )); + sky130_fd_sc_hd__dfxtp_1 _3486_ (.CLK(clknet_leaf_8_clk), + .D(net100), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1212_)); - sky130_fd_sc_hd__and4_1 _4242_ (.A(net57), - .B(net72), - .C(net138), - .D(net122), + .Q(l_running)); + sky130_fd_sc_hd__dfrtp_1 _3487_ (.CLK(clknet_leaf_8_clk), + .D(_1727_), + .RESET_B(net59), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1213_)); - sky130_fd_sc_hd__nand2_1 _4243_ (.A(net67), - .B(net127), + .Q(\mandelbrot.run )); + sky130_fd_sc_hd__dfrtp_1 _3488_ (.CLK(clknet_leaf_8_clk), + .D(net148), + .RESET_B(net60), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1214_)); - sky130_fd_sc_hd__a22oi_1 _4244_ (.A1(net58), - .A2(net138), - .B1(net122), - .B2(net72), + .Q(\vga.reset_write_ptr )); + sky130_fd_sc_hd__dfxtp_1 _3489_ (.CLK(clknet_leaf_13_clk), + .D(net2), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1215_)); - sky130_fd_sc_hd__nor2_1 _4245_ (.A(_1213_), - .B(_1215_), + .Q(\l_sen[0] )); + sky130_fd_sc_hd__dfxtp_1 _3490_ (.CLK(clknet_leaf_13_clk), + .D(net146), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1216_)); - sky130_fd_sc_hd__o21ba_1 _4246_ (.A1(_1214_), - .A2(_1215_), - .B1_N(_1213_), + .Q(\l_sen[1] )); + sky130_fd_sc_hd__dfxtp_1 _3491_ (.CLK(clknet_leaf_8_clk), + .D(net149), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1217_)); - sky130_fd_sc_hd__and2b_1 _4247_ (.A_N(_1217_), - .B(_1212_), + .Q(\l_sen[2] )); + sky130_fd_sc_hd__dfxtp_1 _3492_ (.CLK(net142), + .D(net1), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1218_)); - sky130_fd_sc_hd__and2b_1 _4248_ (.A_N(_1212_), - .B(_1217_), + .Q(latched_rst_n)); + sky130_fd_sc_hd__dfstp_1 _3493_ (.CLK(clknet_leaf_8_clk), + .D(_0001_), + .SET_B(net60), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1219_)); - sky130_fd_sc_hd__or2_1 _4249_ (.A(_1218_), - .B(_1219_), + .Q(\state[0] )); + sky130_fd_sc_hd__dfrtp_1 _3494_ (.CLK(clknet_leaf_8_clk), + .D(net179), + .RESET_B(net60), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1220_)); - sky130_fd_sc_hd__xor2_1 _4250_ (.A(_1198_), - .B(_1208_), + .Q(\state[1] )); + sky130_fd_sc_hd__dfrtp_1 _3495_ (.CLK(clknet_leaf_8_clk), + .D(_0000_), + .RESET_B(net60), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1221_)); - sky130_fd_sc_hd__o21ba_1 _4251_ (.A1(_1220_), - .A2(_1221_), - .B1_N(_1209_), + .Q(\state[2] )); + sky130_fd_sc_hd__dfrtp_1 _3496_ (.CLK(clknet_leaf_8_clk), + .D(_0003_), + .RESET_B(net60), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1222_)); - sky130_fd_sc_hd__and2b_1 _4252_ (.A_N(_1222_), - .B(_1197_), + .Q(\state[3] )); + sky130_fd_sc_hd__dfrtp_1 _3497_ (.CLK(clknet_leaf_20_clk), + .D(_0143_), + .RESET_B(net53), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1223_)); - sky130_fd_sc_hd__a21oi_1 _4253_ (.A1(_1210_), - .A2(_1211_), - .B1(_1218_), + .Q(\mandelbrot.alu.mult_zi_zi.shift_reg[0] )); + sky130_fd_sc_hd__dfrtp_1 _3498_ (.CLK(clknet_leaf_20_clk), + .D(_0144_), + .RESET_B(net51), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1224_)); - sky130_fd_sc_hd__nor2_1 _4254_ (.A(net24), - .B(_1224_), + .Q(\mandelbrot.alu.m2[0] )); + sky130_fd_sc_hd__dfrtp_1 _3499_ (.CLK(clknet_leaf_21_clk), + .D(_0145_), + .RESET_B(net47), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1225_)); - sky130_fd_sc_hd__and2_1 _4255_ (.A(net24), - .B(_1224_), + .Q(\mandelbrot.alu.m2[1] )); + sky130_fd_sc_hd__dfrtp_2 _3500_ (.CLK(clknet_leaf_21_clk), + .D(_0146_), + .RESET_B(net53), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1226_)); - sky130_fd_sc_hd__or2_1 _4256_ (.A(_1225_), - .B(_1226_), + .Q(\mandelbrot.alu.m2[2] )); + sky130_fd_sc_hd__dfrtp_2 _3501_ (.CLK(clknet_leaf_21_clk), + .D(_0147_), + .RESET_B(net47), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1227_)); - sky130_fd_sc_hd__xnor2_1 _4257_ (.A(_1197_), - .B(_1222_), + .Q(\mandelbrot.alu.m2[3] )); + sky130_fd_sc_hd__dfrtp_1 _3502_ (.CLK(clknet_leaf_22_clk), + .D(_0148_), + .RESET_B(net47), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1228_)); - sky130_fd_sc_hd__and2b_1 _4258_ (.A_N(_1227_), - .B(_1228_), + .Q(\mandelbrot.alu.m2[4] )); + sky130_fd_sc_hd__dfrtp_2 _3503_ (.CLK(clknet_leaf_21_clk), + .D(_0149_), + .RESET_B(net47), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1229_)); - sky130_fd_sc_hd__nor2_1 _4259_ (.A(_1223_), - .B(_1229_), + .Q(\mandelbrot.alu.m2[5] )); + sky130_fd_sc_hd__dfrtp_2 _3504_ (.CLK(clknet_leaf_21_clk), + .D(_0150_), + .RESET_B(net47), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1230_)); - sky130_fd_sc_hd__nor2_1 _4260_ (.A(_1196_), - .B(_1230_), + .Q(\mandelbrot.alu.m2[6] )); + sky130_fd_sc_hd__dfrtp_4 _3505_ (.CLK(clknet_leaf_22_clk), + .D(_0151_), + .RESET_B(net49), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1231_)); - sky130_fd_sc_hd__xor2_1 _4261_ (.A(_1196_), - .B(_1230_), + .Q(\mandelbrot.alu.m2[7] )); + sky130_fd_sc_hd__dfrtp_2 _3506_ (.CLK(clknet_leaf_22_clk), + .D(_0152_), + .RESET_B(net49), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1232_)); - sky130_fd_sc_hd__a21oi_1 _4262_ (.A1(_1225_), - .A2(_1232_), - .B1(_1231_), + .Q(\mandelbrot.alu.m2[8] )); + sky130_fd_sc_hd__dfrtp_4 _3507_ (.CLK(clknet_leaf_20_clk), + .D(_0153_), + .RESET_B(net51), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1233_)); - sky130_fd_sc_hd__nor2_1 _4263_ (.A(_1194_), - .B(_1233_), + .Q(\mandelbrot.alu.m2[9] )); + sky130_fd_sc_hd__dfrtp_4 _3508_ (.CLK(clknet_leaf_20_clk), + .D(_0154_), + .RESET_B(net51), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1234_)); - sky130_fd_sc_hd__xor2_1 _4264_ (.A(_1194_), - .B(_1233_), + .Q(\mandelbrot.alu.m2[10] )); + sky130_fd_sc_hd__dfrtp_2 _3509_ (.CLK(clknet_leaf_20_clk), + .D(_0155_), + .RESET_B(net51), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1235_)); - sky130_fd_sc_hd__xnor2_1 _4265_ (.A(_1225_), - .B(_1232_), + .Q(\mandelbrot.alu.m2[11] )); + sky130_fd_sc_hd__dfrtp_2 _3510_ (.CLK(clknet_leaf_20_clk), + .D(_0156_), + .RESET_B(net51), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1236_)); - sky130_fd_sc_hd__xnor2_1 _4266_ (.A(_1227_), - .B(_1228_), + .Q(\mandelbrot.alu.m2[12] )); + sky130_fd_sc_hd__dfrtp_2 _3511_ (.CLK(clknet_leaf_20_clk), + .D(_0157_), + .RESET_B(net51), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1237_)); - sky130_fd_sc_hd__xnor2_1 _4267_ (.A(_1220_), - .B(_1221_), + .Q(\mandelbrot.alu.m2[13] )); + sky130_fd_sc_hd__dfrtp_1 _3512_ (.CLK(clknet_leaf_20_clk), + .D(_0158_), + .RESET_B(net51), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1238_)); - sky130_fd_sc_hd__xnor2_1 _4268_ (.A(_1201_), - .B(_1206_), + .Q(\mandelbrot.alu.m2[14] )); + sky130_fd_sc_hd__dfrtp_2 _3513_ (.CLK(clknet_leaf_19_clk), + .D(_0159_), + .RESET_B(net51), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1239_)); - sky130_fd_sc_hd__a22o_1 _4269_ (.A1(net80), - .A2(net118), - .B1(net114), - .B2(net85), + .Q(\mandelbrot.alu.m2[15] )); + sky130_fd_sc_hd__dfrtp_2 _3514_ (.CLK(clknet_leaf_19_clk), + .D(_0160_), + .RESET_B(net51), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1240_)); - sky130_fd_sc_hd__nor2_1 _4270_ (.A(_2308_), - .B(net38), + .Q(\mandelbrot.alu.m2[16] )); + sky130_fd_sc_hd__dfrtp_2 _3515_ (.CLK(clknet_leaf_19_clk), + .D(_0161_), + .RESET_B(net50), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1241_)); - sky130_fd_sc_hd__o21a_1 _4271_ (.A1(_2308_), - .A2(net37), - .B1(_1240_), + .Q(\mandelbrot.alu.m2[17] )); + sky130_fd_sc_hd__dfrtp_2 _3516_ (.CLK(clknet_leaf_18_clk), + .D(_0162_), + .RESET_B(net52), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1242_)); - sky130_fd_sc_hd__nand2_1 _4272_ (.A(net67), - .B(net133), + .Q(\mandelbrot.alu.m2[18] )); + sky130_fd_sc_hd__dfrtp_1 _3517_ (.CLK(clknet_leaf_18_clk), + .D(_0163_), + .RESET_B(net50), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1243_)); - sky130_fd_sc_hd__xnor2_1 _4273_ (.A(_1242_), - .B(_1243_), + .Q(\mandelbrot.alu.m2[19] )); + sky130_fd_sc_hd__dfrtp_4 _3518_ (.CLK(clknet_leaf_18_clk), + .D(_0164_), + .RESET_B(net52), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1244_)); - sky130_fd_sc_hd__a22o_1 _4274_ (.A1(net93), - .A2(net109), - .B1(net103), - .B2(net97), + .Q(\mandelbrot.alu.m2[20] )); + sky130_fd_sc_hd__dfrtp_4 _3519_ (.CLK(clknet_leaf_18_clk), + .D(_0165_), + .RESET_B(net52), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1245_)); - sky130_fd_sc_hd__o21a_1 _4275_ (.A1(net39), - .A2(_2395_), - .B1(_1245_), + .Q(\mandelbrot.alu.m2[21] )); + sky130_fd_sc_hd__dfrtp_4 _3520_ (.CLK(clknet_leaf_18_clk), + .D(_0166_), + .RESET_B(net52), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1246_)); - sky130_fd_sc_hd__and2_1 _4276_ (.A(_1244_), - .B(_1246_), + .Q(\mandelbrot.alu.m2[22] )); + sky130_fd_sc_hd__dfrtp_4 _3521_ (.CLK(clknet_leaf_18_clk), + .D(_0167_), + .RESET_B(net50), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1247_)); - sky130_fd_sc_hd__nand2_1 _4277_ (.A(_1239_), - .B(_1247_), + .Q(\mandelbrot.alu.m2[23] )); + sky130_fd_sc_hd__dfrtp_4 _3522_ (.CLK(clknet_leaf_18_clk), + .D(_0168_), + .RESET_B(net50), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1248_)); - sky130_fd_sc_hd__a31o_1 _4278_ (.A1(net67), - .A2(net134), - .A3(_1240_), - .B1(_1241_), + .Q(\mandelbrot.alu.m2[24] )); + sky130_fd_sc_hd__dfrtp_4 _3523_ (.CLK(clknet_leaf_19_clk), + .D(_0169_), + .RESET_B(net50), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1249_)); - sky130_fd_sc_hd__xnor2_1 _4279_ (.A(_1214_), - .B(_1216_), + .Q(\mandelbrot.alu.m2[25] )); + sky130_fd_sc_hd__dfrtp_4 _3524_ (.CLK(clknet_leaf_19_clk), + .D(_0170_), + .RESET_B(net50), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1250_)); - sky130_fd_sc_hd__xor2_1 _4280_ (.A(_1249_), - .B(_1250_), + .Q(\mandelbrot.alu.m2[26] )); + sky130_fd_sc_hd__dfrtp_4 _3525_ (.CLK(clknet_leaf_19_clk), + .D(_0171_), + .RESET_B(net50), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1251_)); - sky130_fd_sc_hd__and2_1 _4281_ (.A(net72), - .B(net127), + .Q(\mandelbrot.alu.m2[27] )); + sky130_fd_sc_hd__dfrtp_4 _3526_ (.CLK(clknet_leaf_19_clk), + .D(_0172_), + .RESET_B(net50), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1252_)); - sky130_fd_sc_hd__a22o_1 _4282_ (.A1(net63), - .A2(net138), - .B1(net122), - .B2(net76), + .Q(\mandelbrot.alu.m2[28] )); + sky130_fd_sc_hd__dfrtp_4 _3527_ (.CLK(clknet_leaf_19_clk), + .D(_0173_), + .RESET_B(net50), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1253_)); - sky130_fd_sc_hd__o21ai_1 _4283_ (.A1(_2110_), - .A2(_0423_), - .B1(_1253_), + .Q(\mandelbrot.alu.m2[29] )); + sky130_fd_sc_hd__dfrtp_4 _3528_ (.CLK(clknet_leaf_19_clk), + .D(_0174_), + .RESET_B(net50), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1254_)); - sky130_fd_sc_hd__o2bb2a_1 _4284_ (.A1_N(_1252_), - .A2_N(_1253_), - .B1(_2110_), - .B2(_0423_), + .Q(\mandelbrot.alu.m2[30] )); + sky130_fd_sc_hd__dfxtp_1 _3529_ (.CLK(clknet_leaf_9_clk), + .D(_0175_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1255_)); - sky130_fd_sc_hd__and2b_1 _4285_ (.A_N(_1255_), - .B(_1251_), + .Q(\mandelbrot.alu.mult_zr_zi.ctr[0] )); + sky130_fd_sc_hd__dfxtp_1 _3530_ (.CLK(clknet_leaf_9_clk), + .D(_0176_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1256_)); - sky130_fd_sc_hd__and2b_1 _4286_ (.A_N(_1251_), - .B(_1255_), + .Q(\mandelbrot.alu.mult_zr_zi.ctr[1] )); + sky130_fd_sc_hd__dfxtp_1 _3531_ (.CLK(clknet_leaf_3_clk), + .D(_0177_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1257_)); - sky130_fd_sc_hd__or2_1 _4287_ (.A(_1256_), - .B(_1257_), + .Q(\mandelbrot.alu.mult_zr_zi.ctr[2] )); + sky130_fd_sc_hd__dfxtp_1 _3532_ (.CLK(clknet_leaf_8_clk), + .D(_0178_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1258_)); - sky130_fd_sc_hd__xnor2_1 _4288_ (.A(_1239_), - .B(_1247_), + .Q(\mandelbrot.alu.mult_zr_zr.ctr[0] )); + sky130_fd_sc_hd__dfxtp_1 _3533_ (.CLK(clknet_leaf_8_clk), + .D(_0179_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1259_)); - sky130_fd_sc_hd__o21a_1 _4289_ (.A1(_1258_), - .A2(_1259_), - .B1(_1248_), + .Q(\mandelbrot.alu.mult_zr_zr.ctr[1] )); + sky130_fd_sc_hd__dfxtp_1 _3534_ (.CLK(clknet_leaf_9_clk), + .D(_0180_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1260_)); - sky130_fd_sc_hd__or2_1 _4290_ (.A(_1238_), - .B(_1260_), + .Q(\mandelbrot.alu.mult_zr_zr.ctr[2] )); + sky130_fd_sc_hd__dfrtp_1 _3535_ (.CLK(clknet_leaf_9_clk), + .D(_0007_), + .RESET_B(net56), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1261_)); - sky130_fd_sc_hd__or2_1 _4291_ (.A(_2429_), - .B(net24), + .Q(\mandelbrot.alu.mult_zr_zr.running )); + sky130_fd_sc_hd__dfrtp_1 _3536_ (.CLK(clknet_leaf_2_clk), + .D(_0181_), + .RESET_B(net47), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1262_)); - sky130_fd_sc_hd__a21oi_2 _4292_ (.A1(_1249_), - .A2(_1250_), - .B1(_1256_), + .Q(\mandelbrot.alu.mult_zr_zi.shift_reg[0] )); + sky130_fd_sc_hd__dfrtp_1 _3537_ (.CLK(clknet_leaf_2_clk), + .D(net217), + .RESET_B(net47), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1263_)); - sky130_fd_sc_hd__nor2_1 _4293_ (.A(_1262_), - .B(_1263_), + .Q(\mandelbrot.alu.m3[0] )); + sky130_fd_sc_hd__dfrtp_1 _3538_ (.CLK(clknet_leaf_21_clk), + .D(_0183_), + .RESET_B(net47), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1264_)); - sky130_fd_sc_hd__xnor2_1 _4294_ (.A(_1262_), - .B(_1263_), + .Q(\mandelbrot.alu.m3[1] )); + sky130_fd_sc_hd__dfrtp_1 _3539_ (.CLK(clknet_leaf_2_clk), + .D(_0184_), + .RESET_B(net48), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1265_)); - sky130_fd_sc_hd__nand4_1 _4295_ (.A(\mandelbrot.alu.in_zr[10] ), - .B(net56), - .C(net152), - .D(net147), + .Q(\mandelbrot.alu.m3[2] )); + sky130_fd_sc_hd__dfrtp_1 _3540_ (.CLK(clknet_leaf_2_clk), + .D(_0185_), + .RESET_B(net47), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1266_)); - sky130_fd_sc_hd__a22o_1 _4296_ (.A1(\mandelbrot.alu.in_zr[10] ), - .A2(net152), - .B1(net147), - .B2(net56), + .Q(\mandelbrot.alu.m3[3] )); + sky130_fd_sc_hd__dfrtp_1 _3541_ (.CLK(clknet_leaf_3_clk), + .D(_0186_), + .RESET_B(net55), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1267_)); - sky130_fd_sc_hd__nand2_1 _4297_ (.A(_1266_), - .B(_1267_), + .Q(\mandelbrot.alu.m3[4] )); + sky130_fd_sc_hd__dfrtp_1 _3542_ (.CLK(clknet_leaf_2_clk), + .D(_0187_), + .RESET_B(net47), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1268_)); - sky130_fd_sc_hd__o21a_1 _4298_ (.A1(net42), - .A2(_1268_), - .B1(_1266_), + .Q(\mandelbrot.alu.m3[5] )); + sky130_fd_sc_hd__dfrtp_1 _3543_ (.CLK(clknet_leaf_3_clk), + .D(net192), + .RESET_B(net55), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1269_)); - sky130_fd_sc_hd__xnor2_1 _4299_ (.A(_1265_), - .B(_1269_), + .Q(\mandelbrot.alu.m3[6] )); + sky130_fd_sc_hd__dfrtp_1 _3544_ (.CLK(clknet_leaf_3_clk), + .D(net167), + .RESET_B(net55), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1270_)); - sky130_fd_sc_hd__xnor2_1 _4300_ (.A(_1238_), - .B(_1260_), + .Q(\mandelbrot.alu.m3[7] )); + sky130_fd_sc_hd__dfrtp_1 _3545_ (.CLK(clknet_leaf_9_clk), + .D(_0190_), + .RESET_B(net56), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1271_)); - sky130_fd_sc_hd__o21a_1 _4301_ (.A1(_1270_), - .A2(_1271_), - .B1(_1261_), + .Q(\mandelbrot.alu.m3[8] )); + sky130_fd_sc_hd__dfrtp_1 _3546_ (.CLK(clknet_leaf_9_clk), + .D(_0191_), + .RESET_B(net56), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1272_)); - sky130_fd_sc_hd__nand2b_1 _4302_ (.A_N(_1272_), - .B(_1237_), + .Q(\mandelbrot.alu.m3[9] )); + sky130_fd_sc_hd__dfrtp_1 _3547_ (.CLK(clknet_leaf_9_clk), + .D(_0192_), + .RESET_B(net56), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1273_)); - sky130_fd_sc_hd__o21bai_1 _4303_ (.A1(_1265_), - .A2(_1269_), - .B1_N(_1264_), + .Q(\mandelbrot.alu.m3[10] )); + sky130_fd_sc_hd__dfrtp_1 _3548_ (.CLK(clknet_leaf_3_clk), + .D(_0193_), + .RESET_B(net56), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1274_)); - sky130_fd_sc_hd__xnor2_1 _4304_ (.A(_1237_), - .B(_1272_), + .Q(\mandelbrot.alu.m3[11] )); + sky130_fd_sc_hd__dfrtp_1 _3549_ (.CLK(clknet_leaf_9_clk), + .D(_0194_), + .RESET_B(net56), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1275_)); - sky130_fd_sc_hd__a21boi_1 _4305_ (.A1(_1274_), - .A2(_1275_), - .B1_N(_1273_), + .Q(\mandelbrot.alu.m3[12] )); + sky130_fd_sc_hd__dfrtp_1 _3550_ (.CLK(clknet_leaf_3_clk), + .D(_0195_), + .RESET_B(net55), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1276_)); - sky130_fd_sc_hd__nor2_1 _4306_ (.A(_1236_), - .B(_1276_), + .Q(\mandelbrot.alu.m3[13] )); + sky130_fd_sc_hd__dfrtp_1 _3551_ (.CLK(clknet_leaf_3_clk), + .D(_0196_), + .RESET_B(net55), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1277_)); - sky130_fd_sc_hd__xor2_1 _4307_ (.A(_1236_), - .B(_1276_), + .Q(\mandelbrot.alu.m3[14] )); + sky130_fd_sc_hd__dfrtp_1 _3552_ (.CLK(clknet_leaf_3_clk), + .D(_0197_), + .RESET_B(net55), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1278_)); - sky130_fd_sc_hd__xnor2_1 _4308_ (.A(_1274_), - .B(_1275_), + .Q(\mandelbrot.alu.m3[15] )); + sky130_fd_sc_hd__dfrtp_1 _3553_ (.CLK(clknet_leaf_1_clk), + .D(_0198_), + .RESET_B(net48), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1279_)); - sky130_fd_sc_hd__xnor2_1 _4309_ (.A(_1270_), - .B(_1271_), + .Q(\mandelbrot.alu.m3[16] )); + sky130_fd_sc_hd__dfrtp_2 _3554_ (.CLK(clknet_leaf_1_clk), + .D(_0199_), + .RESET_B(net48), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1280_)); - sky130_fd_sc_hd__xnor2_1 _4310_ (.A(_1258_), - .B(_1259_), + .Q(\mandelbrot.alu.m3[17] )); + sky130_fd_sc_hd__dfrtp_1 _3555_ (.CLK(clknet_leaf_2_clk), + .D(_0200_), + .RESET_B(net48), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1281_)); - sky130_fd_sc_hd__xnor2_1 _4311_ (.A(_1244_), - .B(_1246_), + .Q(\mandelbrot.alu.m3[18] )); + sky130_fd_sc_hd__dfrtp_1 _3556_ (.CLK(clknet_leaf_0_clk), + .D(_0201_), + .RESET_B(net48), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1282_)); - sky130_fd_sc_hd__nand2_1 _4312_ (.A(net96), - .B(net109), + .Q(\mandelbrot.alu.m3[19] )); + sky130_fd_sc_hd__dfrtp_1 _3557_ (.CLK(clknet_leaf_0_clk), + .D(_0202_), + .RESET_B(net46), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1283_)); - sky130_fd_sc_hd__a22o_1 _4313_ (.A1(net85), - .A2(net118), - .B1(net114), - .B2(net92), + .Q(\mandelbrot.alu.m3[20] )); + sky130_fd_sc_hd__dfrtp_2 _3558_ (.CLK(clknet_leaf_0_clk), + .D(_0203_), + .RESET_B(net46), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1284_)); - sky130_fd_sc_hd__nor2_1 _4314_ (.A(_2216_), - .B(net37), + .Q(\mandelbrot.alu.m3[21] )); + sky130_fd_sc_hd__dfrtp_1 _3559_ (.CLK(clknet_leaf_24_clk), + .D(_0204_), + .RESET_B(net46), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1285_)); - sky130_fd_sc_hd__o21a_1 _4315_ (.A1(_2216_), - .A2(net38), - .B1(_1284_), + .Q(\mandelbrot.alu.m3[22] )); + sky130_fd_sc_hd__dfrtp_1 _3560_ (.CLK(clknet_leaf_24_clk), + .D(_0205_), + .RESET_B(net46), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1286_)); - sky130_fd_sc_hd__nand2_1 _4316_ (.A(net73), - .B(net134), + .Q(\mandelbrot.alu.m3[23] )); + sky130_fd_sc_hd__dfrtp_1 _3561_ (.CLK(clknet_leaf_24_clk), + .D(_0206_), + .RESET_B(net46), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1287_)); - sky130_fd_sc_hd__xnor2_1 _4317_ (.A(_1286_), - .B(_1287_), + .Q(\mandelbrot.alu.m3[24] )); + sky130_fd_sc_hd__dfrtp_1 _3562_ (.CLK(clknet_leaf_24_clk), + .D(_0207_), + .RESET_B(net46), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1288_)); - sky130_fd_sc_hd__nand2b_1 _4318_ (.A_N(_1283_), - .B(_1288_), + .Q(\mandelbrot.alu.m3[25] )); + sky130_fd_sc_hd__dfrtp_1 _3563_ (.CLK(clknet_leaf_23_clk), + .D(_0208_), + .RESET_B(net46), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1289_)); - sky130_fd_sc_hd__xor2_1 _4319_ (.A(_1282_), - .B(_1289_), + .Q(\mandelbrot.alu.m3[26] )); + sky130_fd_sc_hd__dfrtp_2 _3564_ (.CLK(clknet_leaf_23_clk), + .D(_0209_), + .RESET_B(net49), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1290_)); - sky130_fd_sc_hd__a31o_1 _4320_ (.A1(net73), - .A2(net134), - .A3(_1284_), - .B1(_1285_), + .Q(\mandelbrot.alu.m3[27] )); + sky130_fd_sc_hd__dfrtp_1 _3565_ (.CLK(clknet_leaf_23_clk), + .D(_0210_), + .RESET_B(net49), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1291_)); - sky130_fd_sc_hd__xnor2_1 _4321_ (.A(_1252_), - .B(_1254_), + .Q(\mandelbrot.alu.m3[28] )); + sky130_fd_sc_hd__dfrtp_1 _3566_ (.CLK(clknet_leaf_23_clk), + .D(_0211_), + .RESET_B(net46), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1292_)); - sky130_fd_sc_hd__xor2_1 _4322_ (.A(_1291_), - .B(_1292_), + .Q(\mandelbrot.alu.m3[29] )); + sky130_fd_sc_hd__dfrtp_2 _3567_ (.CLK(clknet_leaf_23_clk), + .D(_0212_), + .RESET_B(net46), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1293_)); - sky130_fd_sc_hd__and4_1 _4323_ (.A(net66), - .B(net80), - .C(net138), - .D(net122), + .Q(\mandelbrot.alu.m3[30] )); + sky130_fd_sc_hd__dfrtp_1 _3568_ (.CLK(clknet_leaf_9_clk), + .D(_0005_), + .RESET_B(net64), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1294_)); - sky130_fd_sc_hd__nand2_1 _4324_ (.A(net78), - .B(net127), + .Q(\mandelbrot.alu.mult_zi_zi.running )); + sky130_fd_sc_hd__dfxtp_1 _3569_ (.CLK(clknet_leaf_9_clk), + .D(_0213_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1295_)); - sky130_fd_sc_hd__a22oi_1 _4325_ (.A1(net67), - .A2(net138), - .B1(net122), - .B2(net80), + .Q(\mandelbrot.alu.mult_zi_zi.ctr[0] )); + sky130_fd_sc_hd__dfxtp_1 _3570_ (.CLK(clknet_leaf_9_clk), + .D(_0214_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1296_)); - sky130_fd_sc_hd__nor2_1 _4326_ (.A(_1294_), - .B(_1296_), + .Q(\mandelbrot.alu.mult_zi_zi.ctr[1] )); + sky130_fd_sc_hd__dfxtp_1 _3571_ (.CLK(clknet_leaf_9_clk), + .D(_0215_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1297_)); - sky130_fd_sc_hd__o21ba_1 _4327_ (.A1(_1295_), - .A2(_1296_), - .B1_N(_1294_), + .Q(\mandelbrot.alu.mult_zi_zi.ctr[2] )); + sky130_fd_sc_hd__dfrtp_1 _3572_ (.CLK(clknet_leaf_3_clk), + .D(_0006_), + .RESET_B(net55), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1298_)); - sky130_fd_sc_hd__and2b_1 _4328_ (.A_N(_1298_), - .B(_1293_), + .Q(\mandelbrot.alu.mult_zr_zi.running )); + sky130_fd_sc_hd__dfrtp_4 _3573_ (.CLK(clknet_leaf_19_clk), + .D(_0216_), + .RESET_B(net51), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1299_)); - sky130_fd_sc_hd__xnor2_1 _4329_ (.A(_1293_), - .B(_1298_), + .Q(\mandelbrot.alu.m2[31] )); + sky130_fd_sc_hd__dfxtp_1 _3574_ (.CLK(clknet_leaf_4_clk), + .D(_0217_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1300_)); - sky130_fd_sc_hd__nand2_1 _4330_ (.A(_1290_), - .B(_1300_), + .Q(\mandelbrot.alu.in_ci[0] )); + sky130_fd_sc_hd__dfxtp_1 _3575_ (.CLK(clknet_leaf_4_clk), + .D(_0218_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1301_)); - sky130_fd_sc_hd__o21ai_1 _4331_ (.A1(_1282_), - .A2(_1289_), - .B1(_1301_), + .Q(\mandelbrot.alu.in_ci[1] )); + sky130_fd_sc_hd__dfxtp_1 _3576_ (.CLK(clknet_leaf_4_clk), + .D(_0219_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1302_)); - sky130_fd_sc_hd__and2b_1 _4332_ (.A_N(_1281_), - .B(_1302_), + .Q(\mandelbrot.alu.in_ci[2] )); + sky130_fd_sc_hd__dfxtp_1 _3577_ (.CLK(clknet_leaf_1_clk), + .D(_0220_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1303_)); - sky130_fd_sc_hd__a21o_1 _4333_ (.A1(_1291_), - .A2(_1292_), - .B1(_1299_), + .Q(\mandelbrot.alu.in_ci[3] )); + sky130_fd_sc_hd__dfxtp_1 _3578_ (.CLK(clknet_leaf_0_clk), + .D(_0221_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1304_)); - sky130_fd_sc_hd__xnor2_1 _4334_ (.A(net42), - .B(_1268_), + .Q(\mandelbrot.alu.in_ci[4] )); + sky130_fd_sc_hd__dfxtp_1 _3579_ (.CLK(clknet_leaf_0_clk), + .D(_0222_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1305_)); - sky130_fd_sc_hd__and2b_1 _4335_ (.A_N(_1305_), - .B(_1304_), + .Q(\mandelbrot.alu.in_ci[5] )); + sky130_fd_sc_hd__dfxtp_1 _3580_ (.CLK(clknet_leaf_0_clk), + .D(_0223_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1306_)); - sky130_fd_sc_hd__xnor2_1 _4336_ (.A(_1304_), - .B(_1305_), + .Q(\mandelbrot.alu.in_ci[6] )); + sky130_fd_sc_hd__dfxtp_1 _3581_ (.CLK(clknet_leaf_0_clk), + .D(_0224_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1307_)); - sky130_fd_sc_hd__nor2_1 _4337_ (.A(_1925_), - .B(net35), + .Q(\mandelbrot.alu.in_ci[7] )); + sky130_fd_sc_hd__dfxtp_1 _3582_ (.CLK(clknet_leaf_0_clk), + .D(_0225_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1308_)); - sky130_fd_sc_hd__xor2_1 _4338_ (.A(_1307_), - .B(_1308_), + .Q(\mandelbrot.alu.in_ci[8] )); + sky130_fd_sc_hd__dfxtp_1 _3583_ (.CLK(clknet_leaf_0_clk), + .D(_0226_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1309_)); - sky130_fd_sc_hd__xnor2_1 _4339_ (.A(_1281_), - .B(_1302_), + .Q(\mandelbrot.alu.in_ci[9] )); + sky130_fd_sc_hd__dfxtp_1 _3584_ (.CLK(clknet_leaf_24_clk), + .D(_0227_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1310_)); - sky130_fd_sc_hd__a21o_1 _4340_ (.A1(_1309_), - .A2(_1310_), - .B1(_1303_), + .Q(\mandelbrot.alu.in_ci[10] )); + sky130_fd_sc_hd__dfxtp_1 _3585_ (.CLK(clknet_leaf_24_clk), + .D(_0228_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1311_)); - sky130_fd_sc_hd__nand2b_1 _4341_ (.A_N(_1280_), - .B(_1311_), + .Q(\mandelbrot.alu.in_ci[11] )); + sky130_fd_sc_hd__dfxtp_1 _3586_ (.CLK(clknet_leaf_24_clk), + .D(_0229_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1312_)); - sky130_fd_sc_hd__a21o_1 _4342_ (.A1(_1307_), - .A2(_1308_), - .B1(_1306_), + .Q(\mandelbrot.alu.in_ci[12] )); + sky130_fd_sc_hd__dfxtp_1 _3587_ (.CLK(clknet_leaf_24_clk), + .D(_0230_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1313_)); - sky130_fd_sc_hd__xnor2_1 _4343_ (.A(_1280_), - .B(_1311_), + .Q(\mandelbrot.alu.in_ci[13] )); + sky130_fd_sc_hd__dfxtp_1 _3588_ (.CLK(clknet_leaf_24_clk), + .D(_0231_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1314_)); - sky130_fd_sc_hd__a21boi_1 _4344_ (.A1(_1313_), - .A2(_1314_), - .B1_N(_1312_), + .Q(\mandelbrot.alu.in_ci[14] )); + sky130_fd_sc_hd__dfxtp_2 _3589_ (.CLK(clknet_leaf_24_clk), + .D(_0232_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1315_)); - sky130_fd_sc_hd__nor2_1 _4345_ (.A(_1279_), - .B(_1315_), + .Q(\mandelbrot.alu.in_ci[15] )); + sky130_fd_sc_hd__dfxtp_2 _3590_ (.CLK(clknet_leaf_3_clk), + .D(_0233_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1316_)); - sky130_fd_sc_hd__xor2_1 _4346_ (.A(_1279_), - .B(_1315_), + .Q(\mandelbrot.alu.in_cr[0] )); + sky130_fd_sc_hd__dfxtp_1 _3591_ (.CLK(clknet_leaf_3_clk), + .D(_0234_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1317_)); - sky130_fd_sc_hd__xnor2_1 _4347_ (.A(_1313_), - .B(_1314_), + .Q(\mandelbrot.alu.in_cr[1] )); + sky130_fd_sc_hd__dfxtp_1 _3592_ (.CLK(clknet_leaf_3_clk), + .D(_0235_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1318_)); - sky130_fd_sc_hd__xor2_1 _4348_ (.A(_1309_), - .B(_1310_), + .Q(\mandelbrot.alu.in_cr[2] )); + sky130_fd_sc_hd__dfxtp_1 _3593_ (.CLK(clknet_leaf_1_clk), + .D(_0236_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1319_)); - sky130_fd_sc_hd__or2_1 _4349_ (.A(_1290_), - .B(_1300_), + .Q(\mandelbrot.alu.in_cr[3] )); + sky130_fd_sc_hd__dfxtp_1 _3594_ (.CLK(clknet_leaf_1_clk), + .D(_0237_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1320_)); - sky130_fd_sc_hd__nor2_1 _4350_ (.A(net39), - .B(net38), + .Q(\mandelbrot.alu.in_cr[4] )); + sky130_fd_sc_hd__dfxtp_1 _3595_ (.CLK(clknet_leaf_1_clk), + .D(_0238_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1321_)); - sky130_fd_sc_hd__nand2_1 _4351_ (.A(net77), - .B(net133), + .Q(\mandelbrot.alu.in_cr[5] )); + sky130_fd_sc_hd__dfxtp_1 _3596_ (.CLK(clknet_leaf_3_clk), + .D(_0239_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1322_)); - sky130_fd_sc_hd__a22o_1 _4352_ (.A1(net92), - .A2(net119), - .B1(net113), - .B2(net96), + .Q(\mandelbrot.alu.in_cr[6] )); + sky130_fd_sc_hd__dfxtp_1 _3597_ (.CLK(clknet_leaf_3_clk), + .D(_0240_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1323_)); - sky130_fd_sc_hd__o21a_1 _4353_ (.A1(net39), - .A2(net38), - .B1(_1323_), + .Q(\mandelbrot.alu.in_cr[7] )); + sky130_fd_sc_hd__dfxtp_1 _3598_ (.CLK(clknet_leaf_3_clk), + .D(_0241_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1324_)); - sky130_fd_sc_hd__a31o_1 _4354_ (.A1(net78), - .A2(net134), - .A3(_1323_), - .B1(_1321_), + .Q(\mandelbrot.alu.in_cr[8] )); + sky130_fd_sc_hd__dfxtp_1 _3599_ (.CLK(clknet_leaf_3_clk), + .D(_0242_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1325_)); - sky130_fd_sc_hd__xnor2_1 _4355_ (.A(_1295_), - .B(_1297_), + .Q(\mandelbrot.alu.in_cr[9] )); + sky130_fd_sc_hd__dfxtp_1 _3600_ (.CLK(clknet_leaf_3_clk), + .D(_0243_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1326_)); - sky130_fd_sc_hd__nand2_1 _4356_ (.A(_1325_), - .B(_1326_), + .Q(\mandelbrot.alu.in_cr[10] )); + sky130_fd_sc_hd__dfxtp_1 _3601_ (.CLK(clknet_leaf_3_clk), + .D(_0244_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1327_)); - sky130_fd_sc_hd__xor2_1 _4357_ (.A(_1325_), - .B(_1326_), + .Q(\mandelbrot.alu.in_cr[11] )); + sky130_fd_sc_hd__dfxtp_1 _3602_ (.CLK(clknet_leaf_3_clk), + .D(_0245_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1328_)); - sky130_fd_sc_hd__nand4_1 _4358_ (.A(net85), - .B(net74), - .C(net141), - .D(net123), + .Q(\mandelbrot.alu.in_cr[12] )); + sky130_fd_sc_hd__dfxtp_1 _3603_ (.CLK(clknet_leaf_9_clk), + .D(_0246_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1329_)); - sky130_fd_sc_hd__a22o_1 _4359_ (.A1(net74), - .A2(net140), - .B1(net123), - .B2(net84), + .Q(\mandelbrot.alu.in_cr[13] )); + sky130_fd_sc_hd__dfxtp_1 _3604_ (.CLK(clknet_leaf_8_clk), + .D(_0247_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1330_)); - sky130_fd_sc_hd__and4_1 _4360_ (.A(net83), - .B(net129), - .C(_1329_), - .D(_1330_), + .Q(\mandelbrot.alu.in_cr[14] )); + sky130_fd_sc_hd__dfxtp_2 _3605_ (.CLK(clknet_leaf_9_clk), + .D(_0248_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1331_)); - sky130_fd_sc_hd__nand4_1 _4361_ (.A(net83), - .B(net129), - .C(_1329_), - .D(_1330_), + .Q(\mandelbrot.alu.in_cr[15] )); + sky130_fd_sc_hd__dfxtp_4 _3606_ (.CLK(clknet_leaf_8_clk), + .D(_0249_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1332_)); - sky130_fd_sc_hd__and2_1 _4362_ (.A(_1329_), - .B(_1332_), + .Q(uio_out[0])); + sky130_fd_sc_hd__dfxtp_4 _3607_ (.CLK(clknet_leaf_8_clk), + .D(_0250_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1333_)); - sky130_fd_sc_hd__nand2b_1 _4363_ (.A_N(_1333_), - .B(_1328_), + .Q(uio_out[1])); + sky130_fd_sc_hd__dfxtp_4 _3608_ (.CLK(clknet_leaf_8_clk), + .D(_0251_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1334_)); - sky130_fd_sc_hd__xnor2_1 _4364_ (.A(_1328_), - .B(_1333_), + .Q(uio_out[2])); + sky130_fd_sc_hd__dfxtp_4 _3609_ (.CLK(clknet_leaf_8_clk), + .D(_0252_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1335_)); - sky130_fd_sc_hd__xnor2_1 _4365_ (.A(_1283_), - .B(_1288_), + .Q(uio_out[3])); + sky130_fd_sc_hd__dfxtp_2 _3610_ (.CLK(clknet_leaf_12_clk), + .D(_0253_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1336_)); - sky130_fd_sc_hd__and2_1 _4366_ (.A(_1335_), - .B(_1336_), + .Q(\mandelbrot.ctr[0] )); + sky130_fd_sc_hd__dfxtp_2 _3611_ (.CLK(clknet_leaf_11_clk), + .D(_0254_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1337_)); - sky130_fd_sc_hd__and3_1 _4367_ (.A(_1301_), - .B(_1320_), - .C(_1337_), + .Q(\mandelbrot.ctr[1] )); + sky130_fd_sc_hd__dfxtp_2 _3612_ (.CLK(clknet_leaf_11_clk), + .D(_0255_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1338_)); - sky130_fd_sc_hd__nand2_1 _4368_ (.A(_1327_), - .B(_1334_), + .Q(\mandelbrot.ctr[2] )); + sky130_fd_sc_hd__dfxtp_2 _3613_ (.CLK(clknet_leaf_12_clk), + .D(_0256_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1339_)); - sky130_fd_sc_hd__a22o_1 _4369_ (.A1(\mandelbrot.alu.in_zr[9] ), - .A2(net150), - .B1(net146), - .B2(net61), + .Q(\mandelbrot.ctr[3] )); + sky130_fd_sc_hd__dfxtp_1 _3614_ (.CLK(clknet_leaf_12_clk), + .D(_0257_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1340_)); - sky130_fd_sc_hd__o21ai_2 _4370_ (.A1(_1925_), - .A2(net35), - .B1(_1340_), + .Q(\mandelbrot.ctr[4] )); + sky130_fd_sc_hd__dfxtp_2 _3615_ (.CLK(clknet_leaf_12_clk), + .D(_0258_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1341_)); - sky130_fd_sc_hd__a21oi_1 _4371_ (.A1(_1327_), - .A2(_1334_), - .B1(_1341_), + .Q(\mandelbrot.ctr[5] )); + sky130_fd_sc_hd__dfxtp_2 _3616_ (.CLK(clknet_leaf_12_clk), + .D(_0259_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1342_)); - sky130_fd_sc_hd__xnor2_2 _4372_ (.A(_1339_), - .B(_1341_), + .Q(\mandelbrot.ctr[6] )); + sky130_fd_sc_hd__dfxtp_1 _3617_ (.CLK(clknet_leaf_12_clk), + .D(_0260_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1343_)); - sky130_fd_sc_hd__nor2_1 _4373_ (.A(_1902_), - .B(net35), + .Q(\mandelbrot.ctr[7] )); + sky130_fd_sc_hd__dfxtp_1 _3618_ (.CLK(clknet_leaf_12_clk), + .D(_0261_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1344_)); - sky130_fd_sc_hd__xnor2_2 _4374_ (.A(_1343_), - .B(_1344_), + .Q(\mandelbrot.ctr[8] )); + sky130_fd_sc_hd__dfxtp_1 _3619_ (.CLK(clknet_leaf_12_clk), + .D(_0262_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1345_)); - sky130_fd_sc_hd__a21oi_1 _4375_ (.A1(_1301_), - .A2(_1320_), - .B1(_1337_), + .Q(\mandelbrot.ctr[9] )); + sky130_fd_sc_hd__dfxtp_1 _3620_ (.CLK(clknet_leaf_5_clk), + .D(_0263_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1346_)); - sky130_fd_sc_hd__nor2_1 _4376_ (.A(_1338_), - .B(_1346_), + .Q(\mandelbrot.y[0] )); + sky130_fd_sc_hd__dfxtp_1 _3621_ (.CLK(clknet_leaf_5_clk), + .D(_0264_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1347_)); - sky130_fd_sc_hd__o21ba_1 _4377_ (.A1(_1345_), - .A2(_1346_), - .B1_N(_1338_), + .Q(\mandelbrot.y[1] )); + sky130_fd_sc_hd__dfxtp_1 _3622_ (.CLK(clknet_leaf_5_clk), + .D(_0265_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1348_)); - sky130_fd_sc_hd__nand2b_1 _4378_ (.A_N(_1348_), - .B(_1319_), + .Q(\mandelbrot.y[2] )); + sky130_fd_sc_hd__dfxtp_1 _3623_ (.CLK(clknet_leaf_5_clk), + .D(_0266_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1349_)); - sky130_fd_sc_hd__a21o_1 _4379_ (.A1(_1343_), - .A2(_1344_), - .B1(_1342_), + .Q(\mandelbrot.y[3] )); + sky130_fd_sc_hd__dfxtp_1 _3624_ (.CLK(clknet_leaf_5_clk), + .D(_0267_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1350_)); - sky130_fd_sc_hd__xor2_1 _4380_ (.A(_1319_), - .B(_1348_), + .Q(\mandelbrot.y[4] )); + sky130_fd_sc_hd__dfxtp_1 _3625_ (.CLK(clknet_leaf_4_clk), + .D(_0268_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1351_)); - sky130_fd_sc_hd__nand2b_1 _4381_ (.A_N(_1351_), - .B(_1350_), + .Q(\mandelbrot.y[5] )); + sky130_fd_sc_hd__dfxtp_1 _3626_ (.CLK(clknet_leaf_4_clk), + .D(_0269_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1352_)); - sky130_fd_sc_hd__and2_1 _4382_ (.A(_1349_), - .B(_1352_), + .Q(\mandelbrot.y[6] )); + sky130_fd_sc_hd__dfxtp_1 _3627_ (.CLK(clknet_leaf_4_clk), + .D(_0270_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1353_)); - sky130_fd_sc_hd__nor2_1 _4383_ (.A(_1318_), - .B(_1353_), + .Q(\mandelbrot.y[7] )); + sky130_fd_sc_hd__dfxtp_1 _3628_ (.CLK(clknet_leaf_4_clk), + .D(_0271_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1354_)); - sky130_fd_sc_hd__xor2_1 _4384_ (.A(_1318_), - .B(_1353_), + .Q(\mandelbrot.y[8] )); + sky130_fd_sc_hd__dfstp_1 _3629_ (.CLK(clknet_leaf_8_clk), + .D(_0272_), + .SET_B(net59), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1355_)); - sky130_fd_sc_hd__xnor2_1 _4385_ (.A(_1350_), - .B(_1351_), + .Q(\mandelbrot.finished )); + sky130_fd_sc_hd__dfxtp_1 _3630_ (.CLK(clknet_leaf_4_clk), + .D(_0273_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1356_)); - sky130_fd_sc_hd__xnor2_2 _4386_ (.A(_1345_), - .B(_1347_), + .Q(\mandelbrot.x[0] )); + sky130_fd_sc_hd__dfxtp_1 _3631_ (.CLK(clknet_leaf_5_clk), + .D(_0274_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1357_)); - sky130_fd_sc_hd__xnor2_1 _4387_ (.A(_1335_), - .B(_1336_), + .Q(\mandelbrot.x[1] )); + sky130_fd_sc_hd__dfxtp_1 _3632_ (.CLK(clknet_leaf_4_clk), + .D(_0275_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1358_)); - sky130_fd_sc_hd__a22o_1 _4388_ (.A1(net81), - .A2(net130), - .B1(_1329_), - .B2(_1330_), + .Q(\mandelbrot.x[2] )); + sky130_fd_sc_hd__dfxtp_1 _3633_ (.CLK(clknet_leaf_5_clk), + .D(_0276_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1359_)); - sky130_fd_sc_hd__or4b_2 _4389_ (.A(_2329_), - .B(_0376_), - .C(_1331_), - .D_N(_1359_), + .Q(\mandelbrot.x[3] )); + sky130_fd_sc_hd__dfxtp_1 _3634_ (.CLK(clknet_leaf_5_clk), + .D(_0277_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1360_)); - sky130_fd_sc_hd__a2bb2o_1 _4390_ (.A1_N(_2329_), - .A2_N(_0376_), - .B1(_1332_), - .B2(_1359_), + .Q(\mandelbrot.x[4] )); + sky130_fd_sc_hd__dfxtp_1 _3635_ (.CLK(clknet_leaf_5_clk), + .D(_0278_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1361_)); - sky130_fd_sc_hd__nand4_2 _4391_ (.A(net92), - .B(net77), - .C(net140), - .D(net123), + .Q(\mandelbrot.x[5] )); + sky130_fd_sc_hd__dfxtp_1 _3636_ (.CLK(clknet_leaf_5_clk), + .D(_0279_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1362_)); - sky130_fd_sc_hd__a22o_1 _4392_ (.A1(net77), - .A2(net140), - .B1(net123), - .B2(net92), + .Q(\mandelbrot.x[6] )); + sky130_fd_sc_hd__dfxtp_1 _3637_ (.CLK(clknet_leaf_7_clk), + .D(_0280_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1363_)); - sky130_fd_sc_hd__nand4_2 _4393_ (.A(net85), - .B(net129), - .C(_1362_), - .D(_1363_), + .Q(\mandelbrot.x[7] )); + sky130_fd_sc_hd__dfxtp_1 _3638_ (.CLK(clknet_leaf_7_clk), + .D(net289), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1364_)); - sky130_fd_sc_hd__nand2_1 _4394_ (.A(_1362_), - .B(_1364_), + .Q(\mandelbrot.x[8] )); + sky130_fd_sc_hd__dfxtp_1 _3639_ (.CLK(clknet_leaf_21_clk), + .D(_0282_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1365_)); - sky130_fd_sc_hd__nand3_2 _4395_ (.A(_1360_), - .B(_1361_), - .C(_1365_), + .Q(\mandelbrot.alu.in_zi[0] )); + sky130_fd_sc_hd__dfxtp_1 _3640_ (.CLK(clknet_leaf_21_clk), + .D(_0283_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1366_)); - sky130_fd_sc_hd__a21o_1 _4396_ (.A1(_1360_), - .A2(_1361_), - .B1(_1365_), + .Q(\mandelbrot.alu.in_zi[1] )); + sky130_fd_sc_hd__dfxtp_1 _3641_ (.CLK(clknet_leaf_2_clk), + .D(_0284_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1367_)); - sky130_fd_sc_hd__xnor2_1 _4397_ (.A(_1322_), - .B(_1324_), + .Q(\mandelbrot.alu.in_zi[2] )); + sky130_fd_sc_hd__dfxtp_1 _3642_ (.CLK(clknet_leaf_22_clk), + .D(_0285_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1368_)); - sky130_fd_sc_hd__and3_1 _4398_ (.A(_1366_), - .B(_1367_), - .C(_1368_), + .Q(\mandelbrot.alu.in_zi[3] )); + sky130_fd_sc_hd__dfxtp_1 _3643_ (.CLK(clknet_leaf_22_clk), + .D(_0286_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1369_)); - sky130_fd_sc_hd__nand3_1 _4399_ (.A(_1366_), - .B(_1367_), - .C(_1368_), + .Q(\mandelbrot.alu.in_zi[4] )); + sky130_fd_sc_hd__dfxtp_1 _3644_ (.CLK(clknet_leaf_22_clk), + .D(_0287_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1370_)); - sky130_fd_sc_hd__a22o_1 _4400_ (.A1(net59), - .A2(net149), - .B1(net145), - .B2(net63), + .Q(\mandelbrot.alu.in_zi[5] )); + sky130_fd_sc_hd__dfxtp_1 _3645_ (.CLK(clknet_leaf_23_clk), + .D(_0288_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1371_)); - sky130_fd_sc_hd__o21ai_1 _4401_ (.A1(_1902_), - .A2(net34), - .B1(_1371_), + .Q(\mandelbrot.alu.in_zi[6] )); + sky130_fd_sc_hd__dfxtp_1 _3646_ (.CLK(clknet_leaf_22_clk), + .D(_0289_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1372_)); - sky130_fd_sc_hd__a21o_1 _4402_ (.A1(_1360_), - .A2(_1366_), - .B1(_1372_), + .Q(\mandelbrot.alu.in_zi[7] )); + sky130_fd_sc_hd__dfxtp_1 _3647_ (.CLK(clknet_leaf_22_clk), + .D(_0290_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1373_)); - sky130_fd_sc_hd__nand3_1 _4403_ (.A(_1360_), - .B(_1366_), - .C(_1372_), + .Q(\mandelbrot.alu.in_zi[8] )); + sky130_fd_sc_hd__dfxtp_1 _3648_ (.CLK(clknet_leaf_22_clk), + .D(_0291_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1374_)); - sky130_fd_sc_hd__nand2_1 _4404_ (.A(_1373_), - .B(_1374_), + .Q(\mandelbrot.alu.in_zi[9] )); + sky130_fd_sc_hd__dfxtp_1 _3649_ (.CLK(clknet_leaf_20_clk), + .D(_0020_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1375_)); - sky130_fd_sc_hd__nand2_1 _4405_ (.A(_1858_), - .B(_2429_), + .Q(\mandelbrot.alu.in_zi[10] )); + sky130_fd_sc_hd__dfxtp_1 _3650_ (.CLK(clknet_leaf_20_clk), + .D(_0021_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1376_)); - sky130_fd_sc_hd__xnor2_1 _4406_ (.A(_1375_), - .B(_1376_), + .Q(\mandelbrot.alu.in_zi[11] )); + sky130_fd_sc_hd__dfxtp_1 _3651_ (.CLK(clknet_leaf_20_clk), + .D(_0022_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1377_)); - sky130_fd_sc_hd__xnor2_1 _4407_ (.A(_1358_), - .B(_1369_), + .Q(\mandelbrot.alu.in_zi[12] )); + sky130_fd_sc_hd__dfxtp_1 _3652_ (.CLK(clknet_leaf_20_clk), + .D(_0023_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1378_)); - sky130_fd_sc_hd__and2b_1 _4408_ (.A_N(_1377_), - .B(_1378_), + .Q(\mandelbrot.alu.in_zi[13] )); + sky130_fd_sc_hd__dfxtp_1 _3653_ (.CLK(clknet_leaf_19_clk), + .D(_0024_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1379_)); - sky130_fd_sc_hd__o21bai_2 _4409_ (.A1(_1358_), - .A2(_1370_), - .B1_N(_1379_), + .Q(\mandelbrot.alu.in_zi[14] )); + sky130_fd_sc_hd__dfxtp_1 _3654_ (.CLK(clknet_leaf_19_clk), + .D(_0025_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1380_)); - sky130_fd_sc_hd__and2_1 _4410_ (.A(_1357_), - .B(_1380_), + .Q(\mandelbrot.alu.in_zi[15] )); + sky130_fd_sc_hd__dfrtp_1 _3655_ (.CLK(clknet_leaf_8_clk), + .D(net97), + .RESET_B(net59), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1381_)); - sky130_fd_sc_hd__o31ai_2 _4411_ (.A1(_1859_), - .A2(net34), - .A3(_1375_), - .B1(_1373_), + .Q(\mandelbrot.l_alu_finished )); + sky130_fd_sc_hd__dfxtp_1 _3656_ (.CLK(clknet_leaf_11_clk), + .D(_0026_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1382_)); - sky130_fd_sc_hd__xor2_2 _4412_ (.A(_1357_), - .B(_1380_), + .Q(\mandelbrot.overflowed )); + sky130_fd_sc_hd__dfxtp_1 _3657_ (.CLK(clknet_leaf_17_clk), + .D(_0027_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1383_)); - sky130_fd_sc_hd__a21oi_1 _4413_ (.A1(_1382_), - .A2(_1383_), - .B1(_1381_), + .Q(\mandelbrot.alu.in_zr[0] )); + sky130_fd_sc_hd__dfxtp_1 _3658_ (.CLK(clknet_leaf_17_clk), + .D(_0028_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1384_)); - sky130_fd_sc_hd__and2b_1 _4414_ (.A_N(_1384_), - .B(_1356_), + .Q(\mandelbrot.alu.in_zr[1] )); + sky130_fd_sc_hd__dfxtp_1 _3659_ (.CLK(clknet_leaf_17_clk), + .D(_0029_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1385_)); - sky130_fd_sc_hd__xnor2_1 _4415_ (.A(_1356_), - .B(_1384_), + .Q(\mandelbrot.alu.in_zr[2] )); + sky130_fd_sc_hd__dfxtp_1 _3660_ (.CLK(clknet_leaf_17_clk), + .D(_0030_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1386_)); - sky130_fd_sc_hd__xnor2_2 _4416_ (.A(_1382_), - .B(_1383_), + .Q(\mandelbrot.alu.in_zr[3] )); + sky130_fd_sc_hd__dfxtp_1 _3661_ (.CLK(clknet_leaf_16_clk), + .D(_0031_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1387_)); - sky130_fd_sc_hd__xnor2_1 _4417_ (.A(_1377_), - .B(_1378_), + .Q(\mandelbrot.alu.in_zr[4] )); + sky130_fd_sc_hd__dfxtp_1 _3662_ (.CLK(clknet_leaf_16_clk), + .D(_0032_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1388_)); - sky130_fd_sc_hd__a21oi_1 _4418_ (.A1(_1366_), - .A2(_1367_), - .B1(_1368_), + .Q(\mandelbrot.alu.in_zr[5] )); + sky130_fd_sc_hd__dfxtp_1 _3663_ (.CLK(clknet_leaf_15_clk), + .D(_0033_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1389_)); - sky130_fd_sc_hd__a22o_1 _4419_ (.A1(net85), - .A2(net129), - .B1(_1362_), - .B2(_1363_), + .Q(\mandelbrot.alu.in_zr[6] )); + sky130_fd_sc_hd__dfxtp_1 _3664_ (.CLK(clknet_leaf_15_clk), + .D(_0034_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1390_)); - sky130_fd_sc_hd__nand4_1 _4420_ (.A(net96), - .B(net80), - .C(net140), - .D(net123), + .Q(\mandelbrot.alu.in_zr[7] )); + sky130_fd_sc_hd__dfxtp_1 _3665_ (.CLK(clknet_leaf_16_clk), + .D(_0035_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1391_)); - sky130_fd_sc_hd__and2_1 _4421_ (.A(net92), - .B(net129), + .Q(\mandelbrot.alu.in_zr[8] )); + sky130_fd_sc_hd__dfxtp_1 _3666_ (.CLK(clknet_leaf_15_clk), + .D(_0036_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1392_)); - sky130_fd_sc_hd__a22o_1 _4422_ (.A1(net83), - .A2(net140), - .B1(net123), - .B2(net96), + .Q(\mandelbrot.alu.in_zr[9] )); + sky130_fd_sc_hd__dfxtp_1 _3667_ (.CLK(clknet_leaf_16_clk), + .D(_0037_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1393_)); - sky130_fd_sc_hd__nand3_1 _4423_ (.A(_1391_), - .B(_1392_), - .C(_1393_), + .Q(\mandelbrot.alu.in_zr[10] )); + sky130_fd_sc_hd__dfxtp_1 _3668_ (.CLK(clknet_leaf_16_clk), + .D(_0038_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1394_)); - sky130_fd_sc_hd__a21bo_1 _4424_ (.A1(_1392_), - .A2(_1393_), - .B1_N(_1391_), + .Q(\mandelbrot.alu.in_zr[11] )); + sky130_fd_sc_hd__dfxtp_1 _3669_ (.CLK(clknet_leaf_11_clk), + .D(_0039_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1395_)); - sky130_fd_sc_hd__nand3_2 _4425_ (.A(_1364_), - .B(_1390_), - .C(_1395_), + .Q(\mandelbrot.alu.in_zr[12] )); + sky130_fd_sc_hd__dfxtp_1 _3670_ (.CLK(clknet_leaf_16_clk), + .D(_0040_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1396_)); - sky130_fd_sc_hd__a21o_1 _4426_ (.A1(_1364_), - .A2(_1390_), - .B1(_1395_), + .Q(\mandelbrot.alu.in_zr[13] )); + sky130_fd_sc_hd__dfxtp_1 _3671_ (.CLK(clknet_leaf_11_clk), + .D(_0041_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1397_)); - sky130_fd_sc_hd__a22o_1 _4427_ (.A1(net80), - .A2(net135), - .B1(net119), - .B2(net96), + .Q(\mandelbrot.alu.in_zr[14] )); + sky130_fd_sc_hd__dfxtp_1 _3672_ (.CLK(clknet_leaf_11_clk), + .D(_0042_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1398_)); - sky130_fd_sc_hd__o21a_1 _4428_ (.A1(_2329_), - .A2(_0376_), - .B1(_1398_), + .Q(\mandelbrot.alu.in_zr[15] )); + sky130_fd_sc_hd__dfstp_1 _3673_ (.CLK(clknet_leaf_8_clk), + .D(_0004_), + .SET_B(net59), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1399_)); - sky130_fd_sc_hd__nand3_1 _4429_ (.A(_1396_), - .B(_1397_), - .C(_1399_), + .Q(\mandelbrot.alu.first_iteration )); + sky130_fd_sc_hd__dfrtp_4 _3674_ (.CLK(clknet_leaf_23_clk), + .D(_0043_), + .RESET_B(net46), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1400_)); - sky130_fd_sc_hd__or3_1 _4430_ (.A(_1369_), - .B(_1389_), - .C(_1400_), + .Q(\mandelbrot.alu.m3[31] )); + sky130_fd_sc_hd__dfrtp_2 _3675_ (.CLK(clknet_leaf_18_clk), + .D(_0044_), + .RESET_B(net53), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1401_)); - sky130_fd_sc_hd__a22o_1 _4431_ (.A1(net63), - .A2(net149), - .B1(net145), - .B2(net68), + .Q(\mandelbrot.alu.mult_zr_zr.shift_reg[0] )); + sky130_fd_sc_hd__dfrtp_4 _3676_ (.CLK(clknet_leaf_20_clk), + .D(_0045_), + .RESET_B(net53), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1402_)); - sky130_fd_sc_hd__o21ai_1 _4432_ (.A1(_1859_), - .A2(net34), - .B1(_1402_), + .Q(\mandelbrot.alu.m1[0] )); + sky130_fd_sc_hd__dfrtp_2 _3677_ (.CLK(clknet_leaf_20_clk), + .D(_0046_), + .RESET_B(net53), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1403_)); - sky130_fd_sc_hd__xnor2_1 _4433_ (.A(_1396_), - .B(_1403_), + .Q(\mandelbrot.alu.m1[1] )); + sky130_fd_sc_hd__dfrtp_4 _3678_ (.CLK(clknet_leaf_20_clk), + .D(_0047_), + .RESET_B(net53), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1404_)); - sky130_fd_sc_hd__o21ai_1 _4434_ (.A1(_2116_), - .A2(net34), - .B1(_1404_), + .Q(\mandelbrot.alu.m1[2] )); + sky130_fd_sc_hd__dfrtp_4 _3679_ (.CLK(clknet_leaf_21_clk), + .D(_0048_), + .RESET_B(net53), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1405_)); - sky130_fd_sc_hd__or3_1 _4435_ (.A(_2116_), - .B(net34), - .C(_1404_), + .Q(\mandelbrot.alu.m1[3] )); + sky130_fd_sc_hd__dfrtp_2 _3680_ (.CLK(clknet_leaf_10_clk), + .D(_0049_), + .RESET_B(net55), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1406_)); - sky130_fd_sc_hd__and2_1 _4436_ (.A(_1405_), - .B(_1406_), + .Q(\mandelbrot.alu.m1[4] )); + sky130_fd_sc_hd__dfrtp_2 _3681_ (.CLK(clknet_leaf_21_clk), + .D(_0050_), + .RESET_B(net48), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1407_)); - sky130_fd_sc_hd__o21ai_1 _4437_ (.A1(_1369_), - .A2(_1389_), - .B1(_1400_), + .Q(\mandelbrot.alu.m1[5] )); + sky130_fd_sc_hd__dfrtp_2 _3682_ (.CLK(clknet_leaf_10_clk), + .D(_0051_), + .RESET_B(net55), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1408_)); - sky130_fd_sc_hd__nand3_1 _4438_ (.A(_1401_), - .B(_1407_), - .C(_1408_), + .Q(\mandelbrot.alu.m1[6] )); + sky130_fd_sc_hd__dfrtp_4 _3683_ (.CLK(clknet_leaf_10_clk), + .D(_0052_), + .RESET_B(net55), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1409_)); - sky130_fd_sc_hd__nand2_1 _4439_ (.A(_1401_), - .B(_1409_), + .Q(\mandelbrot.alu.m1[7] )); + sky130_fd_sc_hd__dfrtp_2 _3684_ (.CLK(clknet_leaf_9_clk), + .D(_0053_), + .RESET_B(net62), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1410_)); - sky130_fd_sc_hd__o21ai_1 _4440_ (.A1(_1396_), - .A2(_1403_), - .B1(_1406_), + .Q(\mandelbrot.alu.m1[8] )); + sky130_fd_sc_hd__dfrtp_2 _3685_ (.CLK(clknet_leaf_10_clk), + .D(_0054_), + .RESET_B(net62), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1411_)); - sky130_fd_sc_hd__xor2_1 _4441_ (.A(_1388_), - .B(_1410_), + .Q(\mandelbrot.alu.m1[9] )); + sky130_fd_sc_hd__dfrtp_2 _3686_ (.CLK(clknet_leaf_10_clk), + .D(_0055_), + .RESET_B(net62), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1412_)); - sky130_fd_sc_hd__nand2_1 _4442_ (.A(_1411_), - .B(_1412_), + .Q(\mandelbrot.alu.m1[10] )); + sky130_fd_sc_hd__dfrtp_1 _3687_ (.CLK(clknet_leaf_10_clk), + .D(_0056_), + .RESET_B(net62), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1413_)); - sky130_fd_sc_hd__a21bo_1 _4443_ (.A1(_1388_), - .A2(_1410_), - .B1_N(_1413_), + .Q(\mandelbrot.alu.m1[11] )); + sky130_fd_sc_hd__dfrtp_2 _3688_ (.CLK(clknet_leaf_10_clk), + .D(_0057_), + .RESET_B(net62), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1414_)); - sky130_fd_sc_hd__and2b_1 _4444_ (.A_N(_1387_), - .B(_1414_), + .Q(\mandelbrot.alu.m1[12] )); + sky130_fd_sc_hd__dfrtp_2 _3689_ (.CLK(clknet_leaf_16_clk), + .D(_0058_), + .RESET_B(net62), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1415_)); - sky130_fd_sc_hd__xnor2_2 _4445_ (.A(_1387_), - .B(_1414_), + .Q(\mandelbrot.alu.m1[13] )); + sky130_fd_sc_hd__dfrtp_2 _3690_ (.CLK(clknet_leaf_16_clk), + .D(_0059_), + .RESET_B(net62), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1416_)); - sky130_fd_sc_hd__or2_1 _4446_ (.A(_1411_), - .B(_1412_), + .Q(\mandelbrot.alu.m1[14] )); + sky130_fd_sc_hd__dfrtp_2 _3691_ (.CLK(clknet_leaf_16_clk), + .D(_0060_), + .RESET_B(net62), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1417_)); - sky130_fd_sc_hd__xnor2_1 _4447_ (.A(_1411_), - .B(_1412_), + .Q(\mandelbrot.alu.m1[15] )); + sky130_fd_sc_hd__dfrtp_4 _3692_ (.CLK(clknet_leaf_18_clk), + .D(_0061_), + .RESET_B(net53), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1418_)); - sky130_fd_sc_hd__a21o_1 _4448_ (.A1(_1401_), - .A2(_1408_), - .B1(_1407_), + .Q(\mandelbrot.alu.m1[16] )); + sky130_fd_sc_hd__dfrtp_1 _3693_ (.CLK(clknet_leaf_18_clk), + .D(_0062_), + .RESET_B(net53), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1419_)); - sky130_fd_sc_hd__a21o_1 _4449_ (.A1(_1396_), - .A2(_1397_), - .B1(_1399_), + .Q(\mandelbrot.alu.m1[17] )); + sky130_fd_sc_hd__dfrtp_2 _3694_ (.CLK(clknet_leaf_18_clk), + .D(_0063_), + .RESET_B(net53), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1420_)); - sky130_fd_sc_hd__nand2_1 _4450_ (.A(_1400_), - .B(_1420_), + .Q(\mandelbrot.alu.m1[18] )); + sky130_fd_sc_hd__dfrtp_2 _3695_ (.CLK(clknet_leaf_17_clk), + .D(_0064_), + .RESET_B(net54), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1421_)); - sky130_fd_sc_hd__a21o_1 _4451_ (.A1(_1391_), - .A2(_1393_), - .B1(_1392_), + .Q(\mandelbrot.alu.m1[19] )); + sky130_fd_sc_hd__dfrtp_4 _3696_ (.CLK(clknet_leaf_17_clk), + .D(_0065_), + .RESET_B(net54), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1422_)); - sky130_fd_sc_hd__and4_1 _4452_ (.A(net96), - .B(net85), - .C(net140), - .D(net129), + .Q(\mandelbrot.alu.m1[20] )); + sky130_fd_sc_hd__dfrtp_4 _3697_ (.CLK(clknet_leaf_17_clk), + .D(_0066_), + .RESET_B(net54), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1423_)); - sky130_fd_sc_hd__nand4_1 _4453_ (.A(net95), - .B(net88), - .C(net141), - .D(net130), + .Q(\mandelbrot.alu.m1[21] )); + sky130_fd_sc_hd__dfrtp_2 _3698_ (.CLK(clknet_leaf_15_clk), + .D(_0067_), + .RESET_B(net63), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1424_)); - sky130_fd_sc_hd__nand3_2 _4454_ (.A(_1394_), - .B(_1422_), - .C(_1423_), + .Q(\mandelbrot.alu.m1[22] )); + sky130_fd_sc_hd__dfrtp_4 _3699_ (.CLK(clknet_leaf_15_clk), + .D(_0068_), + .RESET_B(net63), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1425_)); - sky130_fd_sc_hd__a21o_1 _4455_ (.A1(_1394_), - .A2(_1422_), - .B1(_1423_), + .Q(\mandelbrot.alu.m1[23] )); + sky130_fd_sc_hd__dfrtp_2 _3700_ (.CLK(clknet_leaf_15_clk), + .D(_0069_), + .RESET_B(net62), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1426_)); - sky130_fd_sc_hd__nand4_2 _4456_ (.A(net88), - .B(net135), - .C(_1425_), - .D(_1426_), + .Q(\mandelbrot.alu.m1[24] )); + sky130_fd_sc_hd__dfrtp_4 _3701_ (.CLK(clknet_leaf_14_clk), + .D(_0070_), + .RESET_B(net62), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1427_)); - sky130_fd_sc_hd__nor2_1 _4457_ (.A(_1421_), - .B(_1427_), + .Q(\mandelbrot.alu.m1[25] )); + sky130_fd_sc_hd__dfrtp_4 _3702_ (.CLK(clknet_leaf_14_clk), + .D(_0071_), + .RESET_B(net63), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1428_)); - sky130_fd_sc_hd__a22o_1 _4458_ (.A1(net69), - .A2(net149), - .B1(net145), - .B2(net73), + .Q(\mandelbrot.alu.m1[26] )); + sky130_fd_sc_hd__dfrtp_2 _3703_ (.CLK(clknet_leaf_14_clk), + .D(_0072_), + .RESET_B(net63), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1429_)); - sky130_fd_sc_hd__o21ai_1 _4459_ (.A1(_2116_), - .A2(net34), - .B1(_1429_), + .Q(\mandelbrot.alu.m1[27] )); + sky130_fd_sc_hd__dfrtp_2 _3704_ (.CLK(clknet_leaf_14_clk), + .D(_0073_), + .RESET_B(net63), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1430_)); - sky130_fd_sc_hd__xnor2_1 _4460_ (.A(_1425_), - .B(_1430_), + .Q(\mandelbrot.alu.m1[28] )); + sky130_fd_sc_hd__dfrtp_4 _3705_ (.CLK(clknet_leaf_13_clk), + .D(_0074_), + .RESET_B(net63), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1431_)); - sky130_fd_sc_hd__o21ai_1 _4461_ (.A1(_2177_), - .A2(net34), - .B1(_1431_), + .Q(\mandelbrot.alu.m1[29] )); + sky130_fd_sc_hd__dfrtp_4 _3706_ (.CLK(clknet_leaf_13_clk), + .D(_0075_), + .RESET_B(net63), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1432_)); - sky130_fd_sc_hd__or3_1 _4462_ (.A(_2177_), - .B(net34), - .C(_1431_), + .Q(\mandelbrot.alu.m1[30] )); + sky130_fd_sc_hd__dfxtp_1 _3707_ (.CLK(clknet_leaf_4_clk), + .D(_0076_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1433_)); - sky130_fd_sc_hd__and2_1 _4463_ (.A(_1432_), - .B(_1433_), + .Q(\mandelbrot.cr_offset[0] )); + sky130_fd_sc_hd__dfxtp_1 _3708_ (.CLK(clknet_leaf_4_clk), + .D(_0077_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1434_)); - sky130_fd_sc_hd__xor2_1 _4464_ (.A(_1421_), - .B(_1427_), + .Q(\mandelbrot.cr_offset[1] )); + sky130_fd_sc_hd__dfxtp_1 _3709_ (.CLK(clknet_leaf_4_clk), + .D(net159), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1435_)); - sky130_fd_sc_hd__and2_1 _4465_ (.A(_1434_), - .B(_1435_), + .Q(\mandelbrot.cr_offset[2] )); + sky130_fd_sc_hd__dfxtp_1 _3710_ (.CLK(clknet_leaf_1_clk), + .D(_0079_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1436_)); - sky130_fd_sc_hd__o211ai_2 _4466_ (.A1(_1428_), - .A2(_1436_), - .B1(_1409_), - .C1(_1419_), + .Q(\mandelbrot.cr_offset[3] )); + sky130_fd_sc_hd__dfxtp_1 _3711_ (.CLK(clknet_leaf_1_clk), + .D(_0080_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1437_)); - sky130_fd_sc_hd__o21ai_1 _4467_ (.A1(_1425_), - .A2(_1430_), - .B1(_1433_), + .Q(\mandelbrot.cr_offset[4] )); + sky130_fd_sc_hd__dfxtp_1 _3712_ (.CLK(clknet_leaf_1_clk), + .D(_0081_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1438_)); - sky130_fd_sc_hd__a211o_1 _4468_ (.A1(_1409_), - .A2(_1419_), - .B1(_1428_), - .C1(_1436_), + .Q(\mandelbrot.cr_offset[5] )); + sky130_fd_sc_hd__dfxtp_1 _3713_ (.CLK(clknet_leaf_3_clk), + .D(_0082_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1439_)); - sky130_fd_sc_hd__nand3_1 _4469_ (.A(_1437_), - .B(_1438_), - .C(_1439_), + .Q(\mandelbrot.cr_offset[6] )); + sky130_fd_sc_hd__dfxtp_1 _3714_ (.CLK(clknet_leaf_3_clk), + .D(net199), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1440_)); - sky130_fd_sc_hd__nand2_1 _4470_ (.A(_1437_), - .B(_1440_), + .Q(\mandelbrot.cr_offset[7] )); + sky130_fd_sc_hd__dfxtp_1 _3715_ (.CLK(clknet_leaf_3_clk), + .D(net206), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1441_)); - sky130_fd_sc_hd__xnor2_2 _4471_ (.A(_1418_), - .B(_1441_), + .Q(\mandelbrot.cr_offset[8] )); + sky130_fd_sc_hd__dfxtp_1 _3716_ (.CLK(clknet_leaf_3_clk), + .D(_0085_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1442_)); - sky130_fd_sc_hd__a21o_1 _4472_ (.A1(_1437_), - .A2(_1439_), - .B1(_1438_), + .Q(\mandelbrot.cr_offset[9] )); + sky130_fd_sc_hd__dfxtp_1 _3717_ (.CLK(clknet_leaf_3_clk), + .D(net203), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1443_)); - sky130_fd_sc_hd__nor2_1 _4473_ (.A(_1434_), - .B(_1435_), + .Q(\mandelbrot.cr_offset[10] )); + sky130_fd_sc_hd__dfxtp_1 _3718_ (.CLK(clknet_leaf_3_clk), + .D(net151), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1444_)); - sky130_fd_sc_hd__nor2_1 _4474_ (.A(_1436_), - .B(_1444_), + .Q(\mandelbrot.cr_offset[11] )); + sky130_fd_sc_hd__dfxtp_1 _3719_ (.CLK(clknet_leaf_5_clk), + .D(_0088_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1445_)); - sky130_fd_sc_hd__a22o_1 _4475_ (.A1(net88), - .A2(net141), - .B1(net130), - .B2(net96), + .Q(\mandelbrot.cr_offset[12] )); + sky130_fd_sc_hd__dfxtp_1 _3720_ (.CLK(clknet_leaf_5_clk), + .D(_0089_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1446_)); - sky130_fd_sc_hd__and4_1 _4476_ (.A(net92), - .B(net136), - .C(_1424_), - .D(_1446_), + .Q(\mandelbrot.cr_offset[13] )); + sky130_fd_sc_hd__dfxtp_1 _3721_ (.CLK(clknet_leaf_5_clk), + .D(_0090_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1447_)); - sky130_fd_sc_hd__a22o_1 _4477_ (.A1(net88), - .A2(net135), - .B1(_1425_), - .B2(_1426_), + .Q(\mandelbrot.cr_offset[14] )); + sky130_fd_sc_hd__dfxtp_1 _3722_ (.CLK(clknet_leaf_4_clk), + .D(_0091_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1448_)); - sky130_fd_sc_hd__and3_1 _4478_ (.A(_1427_), - .B(_1447_), - .C(_1448_), + .Q(\mandelbrot.cr_offset[15] )); + sky130_fd_sc_hd__dfxtp_1 _3723_ (.CLK(clknet_leaf_4_clk), + .D(net195), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1449_)); - sky130_fd_sc_hd__a21o_1 _4479_ (.A1(_1427_), - .A2(_1448_), - .B1(_1447_), + .Q(\mandelbrot.ci_offset[0] )); + sky130_fd_sc_hd__dfxtp_1 _3724_ (.CLK(clknet_leaf_4_clk), + .D(net157), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1450_)); - sky130_fd_sc_hd__and2b_1 _4480_ (.A_N(_1449_), - .B(_1450_), + .Q(\mandelbrot.ci_offset[1] )); + sky130_fd_sc_hd__dfxtp_1 _3725_ (.CLK(clknet_leaf_1_clk), + .D(_0094_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1451_)); - sky130_fd_sc_hd__nand2_1 _4481_ (.A(net75), - .B(net153), + .Q(\mandelbrot.ci_offset[2] )); + sky130_fd_sc_hd__dfxtp_1 _3726_ (.CLK(clknet_leaf_1_clk), + .D(_0095_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1452_)); - sky130_fd_sc_hd__nand2_1 _4482_ (.A(net82), - .B(net153), + .Q(\mandelbrot.ci_offset[3] )); + sky130_fd_sc_hd__dfxtp_1 _3727_ (.CLK(clknet_leaf_0_clk), + .D(_0096_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1453_)); - sky130_fd_sc_hd__and3_1 _4483_ (.A(net79), - .B(net144), - .C(_1453_), + .Q(\mandelbrot.ci_offset[4] )); + sky130_fd_sc_hd__dfxtp_1 _3728_ (.CLK(clknet_leaf_0_clk), + .D(net169), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1454_)); - sky130_fd_sc_hd__xnor2_1 _4484_ (.A(_1452_), - .B(_1454_), + .Q(\mandelbrot.ci_offset[5] )); + sky130_fd_sc_hd__dfxtp_1 _3729_ (.CLK(clknet_leaf_0_clk), + .D(_0098_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1455_)); - sky130_fd_sc_hd__nand2_1 _4485_ (.A(_1451_), - .B(_1455_), + .Q(\mandelbrot.ci_offset[6] )); + sky130_fd_sc_hd__dfxtp_1 _3730_ (.CLK(clknet_leaf_0_clk), + .D(net171), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1456_)); - sky130_fd_sc_hd__a21o_1 _4486_ (.A1(_1450_), - .A2(_1455_), - .B1(_1449_), + .Q(\mandelbrot.ci_offset[7] )); + sky130_fd_sc_hd__dfxtp_1 _3731_ (.CLK(clknet_leaf_0_clk), + .D(_0100_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1457_)); - sky130_fd_sc_hd__and2_1 _4487_ (.A(_1445_), - .B(_1457_), + .Q(\mandelbrot.ci_offset[8] )); + sky130_fd_sc_hd__dfxtp_1 _3732_ (.CLK(clknet_leaf_24_clk), + .D(_0101_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1458_)); - sky130_fd_sc_hd__a21o_1 _4488_ (.A1(_1440_), - .A2(_1443_), - .B1(_1458_), + .Q(\mandelbrot.ci_offset[9] )); + sky130_fd_sc_hd__dfxtp_1 _3733_ (.CLK(clknet_leaf_24_clk), + .D(net175), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1459_)); - sky130_fd_sc_hd__nor2_1 _4489_ (.A(_2308_), - .B(net34), + .Q(\mandelbrot.ci_offset[10] )); + sky130_fd_sc_hd__dfxtp_1 _3734_ (.CLK(clknet_leaf_24_clk), + .D(_0103_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1460_)); - sky130_fd_sc_hd__a22o_1 _4490_ (.A1(net79), - .A2(net149), - .B1(net144), - .B2(net82), + .Q(\mandelbrot.ci_offset[11] )); + sky130_fd_sc_hd__dfxtp_1 _3735_ (.CLK(clknet_leaf_24_clk), + .D(_0104_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1461_)); - sky130_fd_sc_hd__o21ai_1 _4491_ (.A1(_1851_), - .A2(net35), - .B1(_1461_), + .Q(\mandelbrot.ci_offset[12] )); + sky130_fd_sc_hd__dfxtp_1 _3736_ (.CLK(clknet_leaf_24_clk), + .D(net185), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1462_)); - sky130_fd_sc_hd__nor3_1 _4492_ (.A(_2308_), - .B(net34), - .C(_1462_), + .Q(\mandelbrot.ci_offset[13] )); + sky130_fd_sc_hd__dfxtp_1 _3737_ (.CLK(clknet_leaf_24_clk), + .D(net187), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1463_)); - sky130_fd_sc_hd__a21boi_1 _4493_ (.A1(net86), - .A2(net144), - .B1_N(_1453_), + .Q(\mandelbrot.ci_offset[14] )); + sky130_fd_sc_hd__dfxtp_1 _3738_ (.CLK(clknet_leaf_0_clk), + .D(_0107_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1464_)); - sky130_fd_sc_hd__nor4_1 _4494_ (.A(_2216_), - .B(net35), - .C(_1460_), - .D(_1464_), + .Q(\mandelbrot.ci_offset[15] )); + sky130_fd_sc_hd__dfxtp_2 _3739_ (.CLK(clknet_leaf_4_clk), + .D(_0108_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1465_)); - sky130_fd_sc_hd__o22a_1 _4495_ (.A1(_2216_), - .A2(net35), - .B1(_1460_), - .B2(_1464_), + .Q(\mandelbrot.scaling[0] )); + sky130_fd_sc_hd__dfxtp_1 _3740_ (.CLK(clknet_leaf_4_clk), + .D(net274), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1466_)); - sky130_fd_sc_hd__a22o_1 _4496_ (.A1(net90), - .A2(net141), - .B1(net135), - .B2(net95), + .Q(\mandelbrot.scaling[1] )); + sky130_fd_sc_hd__dfxtp_1 _3741_ (.CLK(clknet_leaf_1_clk), + .D(_0110_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1467_)); - sky130_fd_sc_hd__nor2_1 _4497_ (.A(net39), - .B(_0333_), + .Q(\mandelbrot.scaling[2] )); + sky130_fd_sc_hd__dfxtp_1 _3742_ (.CLK(clknet_leaf_1_clk), + .D(_0111_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1468_)); - sky130_fd_sc_hd__o21ai_1 _4498_ (.A1(net39), - .A2(_0333_), - .B1(_1467_), + .Q(\mandelbrot.scaling[3] )); + sky130_fd_sc_hd__dfxtp_1 _3743_ (.CLK(clknet_leaf_1_clk), + .D(_0112_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1469_)); - sky130_fd_sc_hd__o21ai_1 _4499_ (.A1(net23), - .A2(_1466_), - .B1(_1469_), + .Q(\mandelbrot.scaling[4] )); + sky130_fd_sc_hd__dfxtp_1 _3744_ (.CLK(clknet_leaf_1_clk), + .D(_0113_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1470_)); - sky130_fd_sc_hd__a32o_1 _4500_ (.A1(net95), - .A2(net87), - .A3(net149), - .B1(net144), - .B2(_2194_), + .Q(\mandelbrot.scaling[5] )); + sky130_fd_sc_hd__dfxtp_2 _3745_ (.CLK(clknet_leaf_8_clk), + .D(_0114_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1471_)); - sky130_fd_sc_hd__a21o_1 _4501_ (.A1(_1817_), - .A2(net149), - .B1(net141), + .Q(\mandelbrot.scaling[6] )); + sky130_fd_sc_hd__dfxtp_1 _3746_ (.CLK(clknet_leaf_8_clk), + .D(_0115_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1472_)); - sky130_fd_sc_hd__and2_1 _4502_ (.A(_1471_), - .B(_1472_), + .Q(\mandelbrot.ctr_select[0] )); + sky130_fd_sc_hd__dfxtp_2 _3747_ (.CLK(clknet_leaf_8_clk), + .D(_0116_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1473_)); - sky130_fd_sc_hd__nor2_1 _4503_ (.A(_1466_), - .B(_1469_), + .Q(\mandelbrot.ctr_select[1] )); + sky130_fd_sc_hd__dfxtp_1 _3748_ (.CLK(clknet_leaf_12_clk), + .D(net259), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1474_)); - sky130_fd_sc_hd__a211o_1 _4504_ (.A1(_1470_), - .A2(_1473_), - .B1(_1474_), - .C1(net23), + .Q(\mandelbrot.ctr_select[2] )); + sky130_fd_sc_hd__dfxtp_1 _3749_ (.CLK(clknet_leaf_12_clk), + .D(_0118_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1475_)); - sky130_fd_sc_hd__a22oi_1 _4505_ (.A1(net91), - .A2(net136), - .B1(_1424_), - .B2(_1446_), + .Q(\mandelbrot.max_ctr[0] )); + sky130_fd_sc_hd__dfxtp_1 _3750_ (.CLK(clknet_leaf_13_clk), + .D(_0119_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1476_)); - sky130_fd_sc_hd__or2_1 _4506_ (.A(_1447_), - .B(_1476_), + .Q(\mandelbrot.max_ctr[1] )); + sky130_fd_sc_hd__dfxtp_1 _3751_ (.CLK(clknet_leaf_13_clk), + .D(_0120_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1477_)); - sky130_fd_sc_hd__or3_1 _4507_ (.A(net39), - .B(_0333_), - .C(_1477_), + .Q(\mandelbrot.max_ctr[2] )); + sky130_fd_sc_hd__dfxtp_1 _3752_ (.CLK(clknet_leaf_13_clk), + .D(net233), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1478_)); - sky130_fd_sc_hd__and2b_1 _4508_ (.A_N(_1468_), - .B(_1477_), + .Q(\mandelbrot.max_ctr[3] )); + sky130_fd_sc_hd__dfxtp_1 _3753_ (.CLK(clknet_leaf_13_clk), + .D(net237), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1479_)); - sky130_fd_sc_hd__xnor2_1 _4509_ (.A(_1468_), - .B(_1477_), + .Q(\mandelbrot.max_ctr[4] )); + sky130_fd_sc_hd__dfxtp_1 _3754_ (.CLK(clknet_leaf_13_clk), + .D(net255), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1480_)); - sky130_fd_sc_hd__and2b_1 _4510_ (.A_N(_1460_), - .B(_1462_), + .Q(\mandelbrot.max_ctr[5] )); + sky130_fd_sc_hd__dfxtp_1 _3755_ (.CLK(clknet_leaf_13_clk), + .D(_0124_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1481_)); - sky130_fd_sc_hd__or2_1 _4511_ (.A(_1463_), - .B(_1481_), + .Q(\mandelbrot.max_ctr[6] )); + sky130_fd_sc_hd__dfxtp_1 _3756_ (.CLK(clknet_leaf_13_clk), + .D(_0125_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1482_)); - sky130_fd_sc_hd__xnor2_1 _4512_ (.A(_1480_), - .B(_1482_), + .Q(\mandelbrot.max_ctr[7] )); + sky130_fd_sc_hd__dfxtp_1 _3757_ (.CLK(clknet_leaf_13_clk), + .D(net229), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1483_)); - sky130_fd_sc_hd__a31o_1 _4513_ (.A1(_1465_), - .A2(_1470_), - .A3(_1473_), - .B1(_1483_), + .Q(\mandelbrot.max_ctr[8] )); + sky130_fd_sc_hd__dfxtp_1 _3758_ (.CLK(clknet_leaf_13_clk), + .D(net153), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1484_)); - sky130_fd_sc_hd__a21o_1 _4514_ (.A1(_1475_), - .A2(_1484_), - .B1(_1463_), + .Q(\mandelbrot.max_ctr[9] )); + sky130_fd_sc_hd__dfxtp_1 _3759_ (.CLK(clknet_leaf_12_clk), + .D(_0128_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1485_)); - sky130_fd_sc_hd__o21ai_1 _4515_ (.A1(_1479_), - .A2(_1482_), - .B1(_1478_), + .Q(\vga.pixel_buffer[0] )); + sky130_fd_sc_hd__dfxtp_2 _3760_ (.CLK(clknet_leaf_12_clk), + .D(_0129_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1486_)); - sky130_fd_sc_hd__o22a_1 _4516_ (.A1(_1451_), - .A2(_1455_), - .B1(_1485_), - .B2(_1486_), + .Q(\vga.pixel_buffer[1] )); + sky130_fd_sc_hd__dfxtp_1 _3761_ (.CLK(clknet_leaf_12_clk), + .D(_0130_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1487_)); - sky130_fd_sc_hd__a31o_1 _4517_ (.A1(_1463_), - .A2(_1475_), - .A3(_1484_), - .B1(_1486_), + .Q(\vga.pixel_buffer[2] )); + sky130_fd_sc_hd__dfxtp_1 _3762_ (.CLK(clknet_leaf_12_clk), + .D(_0131_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1488_)); - sky130_fd_sc_hd__a22o_1 _4518_ (.A1(_1456_), - .A2(_1487_), - .B1(_1488_), - .B2(_1485_), + .Q(\vga.pixel_buffer[3] )); + sky130_fd_sc_hd__dfrtp_2 _3763_ (.CLK(clknet_leaf_7_clk), + .D(_0008_), + .RESET_B(net58), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1489_)); - sky130_fd_sc_hd__and4_1 _4519_ (.A(_1820_), - .B(_1850_), - .C(_2429_), - .D(_1489_), + .Q(\vga.pixel_ctr[0] )); + sky130_fd_sc_hd__dfrtp_1 _3764_ (.CLK(clknet_leaf_7_clk), + .D(_0010_), + .RESET_B(net58), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1490_)); - sky130_fd_sc_hd__a311o_1 _4520_ (.A1(_1820_), - .A2(_1850_), - .A3(_2429_), - .B1(_1458_), - .C1(_1489_), + .Q(\vga.pixel_ctr[1] )); + sky130_fd_sc_hd__dfrtp_1 _3765_ (.CLK(clknet_leaf_7_clk), + .D(_0011_), + .RESET_B(net61), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1491_)); - sky130_fd_sc_hd__o211a_1 _4521_ (.A1(_1445_), - .A2(_1457_), - .B1(_1440_), - .C1(_1443_), + .Q(\vga.pixel_ctr[2] )); + sky130_fd_sc_hd__dfrtp_2 _3766_ (.CLK(clknet_leaf_7_clk), + .D(_0012_), + .RESET_B(net59), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1492_)); - sky130_fd_sc_hd__a22o_1 _4522_ (.A1(_1459_), - .A2(_1490_), - .B1(_1491_), - .B2(_1492_), + .Q(\vga.pixel_ctr[3] )); + sky130_fd_sc_hd__dfrtp_1 _3767_ (.CLK(clknet_leaf_7_clk), + .D(_0013_), + .RESET_B(net60), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1493_)); - sky130_fd_sc_hd__a32o_1 _4523_ (.A1(_1413_), - .A2(_1417_), - .A3(_1441_), - .B1(_1442_), - .B2(_1493_), + .Q(\vga.pixel_ctr[4] )); + sky130_fd_sc_hd__dfrtp_2 _3768_ (.CLK(clknet_leaf_7_clk), + .D(_0014_), + .RESET_B(net60), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1494_)); - sky130_fd_sc_hd__a21o_1 _4524_ (.A1(_1416_), - .A2(_1494_), - .B1(_1415_), + .Q(\vga.pixel_ctr[5] )); + sky130_fd_sc_hd__dfrtp_2 _3769_ (.CLK(clknet_leaf_7_clk), + .D(_0015_), + .RESET_B(net60), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1495_)); - sky130_fd_sc_hd__a21o_1 _4525_ (.A1(_1386_), - .A2(_1495_), - .B1(_1385_), + .Q(\vga.pixel_ctr[6] )); + sky130_fd_sc_hd__dfrtp_4 _3770_ (.CLK(clknet_leaf_7_clk), + .D(_0016_), + .RESET_B(net60), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1496_)); - sky130_fd_sc_hd__a21o_1 _4526_ (.A1(_1355_), - .A2(_1496_), - .B1(_1354_), + .Q(\vga.pixel_ctr[7] )); + sky130_fd_sc_hd__dfrtp_1 _3771_ (.CLK(clknet_leaf_7_clk), + .D(_0017_), + .RESET_B(net58), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1497_)); - sky130_fd_sc_hd__a21o_1 _4527_ (.A1(_1317_), - .A2(_1497_), - .B1(_1316_), + .Q(\vga.pixel_ctr[8] )); + sky130_fd_sc_hd__dfrtp_1 _3772_ (.CLK(clknet_leaf_7_clk), + .D(_0018_), + .RESET_B(net58), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1498_)); - sky130_fd_sc_hd__a21o_1 _4528_ (.A1(_1278_), - .A2(_1498_), - .B1(_1277_), + .Q(\vga.pixel_ctr[9] )); + sky130_fd_sc_hd__dfrtp_2 _3773_ (.CLK(clknet_leaf_7_clk), + .D(_0009_), + .RESET_B(net57), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1499_)); - sky130_fd_sc_hd__a21o_1 _4529_ (.A1(_1235_), - .A2(_1499_), - .B1(_1234_), + .Q(\vga.pixel_ctr[10] )); + sky130_fd_sc_hd__dfrtp_4 _3774_ (.CLK(clknet_leaf_14_clk), + .D(_0132_), + .RESET_B(net63), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1500_)); - sky130_fd_sc_hd__and2_1 _4530_ (.A(_1148_), - .B(_1191_), + .Q(\mandelbrot.alu.m1[31] )); + sky130_fd_sc_hd__dfxtp_1 _3775_ (.CLK(clknet_leaf_7_clk), + .D(\vga.write_data ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1501_)); - sky130_fd_sc_hd__nor2_1 _4531_ (.A(_1192_), - .B(_1501_), + .Q(\vga.wrote_data )); + sky130_fd_sc_hd__dfxtp_1 _3776_ (.CLK(clknet_leaf_7_clk), + .D(\vga.read ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1502_)); - sky130_fd_sc_hd__a21o_1 _4532_ (.A1(_1500_), - .A2(_1502_), - .B1(_1192_), + .Q(\vga.l_read )); + sky130_fd_sc_hd__buf_2 _3777_ (.A(net134), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1503_)); - sky130_fd_sc_hd__and2_1 _4533_ (.A(_1102_), - .B(_1145_), + .X(uio_oe[0])); + sky130_fd_sc_hd__buf_2 _3778_ (.A(net135), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1504_)); - sky130_fd_sc_hd__nor2_1 _4534_ (.A(_1146_), - .B(_1504_), + .X(uio_oe[1])); + sky130_fd_sc_hd__buf_2 _3779_ (.A(net136), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1505_)); - sky130_fd_sc_hd__a21o_1 _4535_ (.A1(_1503_), - .A2(_1505_), - .B1(_1146_), + .X(uio_oe[2])); + sky130_fd_sc_hd__buf_2 _3780_ (.A(net137), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1506_)); - sky130_fd_sc_hd__a21o_1 _4536_ (.A1(_1101_), - .A2(_1506_), - .B1(_1099_), + .X(uio_oe[3])); + sky130_fd_sc_hd__buf_2 _3781_ (.A(net138), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1507_)); - sky130_fd_sc_hd__xnor2_1 _4537_ (.A(_1048_), - .B(_1049_), + .X(uio_oe[4])); + sky130_fd_sc_hd__buf_2 _3782_ (.A(net139), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1508_)); - sky130_fd_sc_hd__a21oi_1 _4538_ (.A1(_1507_), - .A2(_1508_), - .B1(_1050_), + .X(uio_oe[5])); + sky130_fd_sc_hd__buf_2 _3783_ (.A(net140), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1509_)); - sky130_fd_sc_hd__xnor2_1 _4539_ (.A(_1003_), - .B(_1509_), + .X(uio_oe[6])); + sky130_fd_sc_hd__buf_2 _3784_ (.A(net141), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1510_)); - sky130_fd_sc_hd__xnor2_1 _4540_ (.A(\mandelbrot.alu.in_ci[10] ), - .B(_1510_), + .X(uio_oe[7])); + sky130_fd_sc_hd__clkbuf_4 _3785_ (.A(\vga.reset_write_ptr ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1511_)); - sky130_fd_sc_hd__xor2_1 _4541_ (.A(_1507_), - .B(_1508_), + .X(uio_out[4])); + sky130_fd_sc_hd__buf_2 _3786_ (.A(\vga.write_data ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1512_)); - sky130_fd_sc_hd__nand2_1 _4542_ (.A(\mandelbrot.alu.in_ci[10] ), - .B(_1512_), + .X(uio_out[5])); + sky130_fd_sc_hd__buf_2 _3787_ (.A(\vga.reset_read_ptr ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1513_)); - sky130_fd_sc_hd__xnor2_1 _4543_ (.A(\mandelbrot.alu.in_ci[10] ), - .B(_1512_), + .X(uio_out[6])); + sky130_fd_sc_hd__buf_2 _3788_ (.A(\vga.read ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1514_)); - sky130_fd_sc_hd__xor2_1 _4544_ (.A(_1101_), - .B(_1506_), + .X(uio_out[7])); + sky130_fd_sc_hd__inv_2 _1760__1 (.A(clknet_leaf_13_clk), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1515_)); - sky130_fd_sc_hd__nand2_1 _4545_ (.A(\mandelbrot.alu.in_ci[9] ), - .B(_1515_), - .VGND(VGND), + .Y(net142)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_0_Right_0 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR), - .Y(_1516_)); - sky130_fd_sc_hd__xnor2_1 _4546_ (.A(\mandelbrot.alu.in_ci[9] ), - .B(_1515_), - .VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_1_Right_1 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR), - .Y(_1517_)); - sky130_fd_sc_hd__xor2_1 _4547_ (.A(_1503_), - .B(_1505_), - .VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_2_Right_2 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR), - .X(_1518_)); - sky130_fd_sc_hd__nand2_1 _4548_ (.A(\mandelbrot.alu.in_ci[8] ), - .B(_1518_), - .VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_3_Right_3 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR), - .Y(_1519_)); - sky130_fd_sc_hd__xor2_1 _4549_ (.A(_1500_), - .B(_1502_), - .VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_4_Right_4 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR), - .X(_1520_)); - sky130_fd_sc_hd__nand2_1 _4550_ (.A(\mandelbrot.alu.in_ci[7] ), - .B(_1520_), - .VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_5_Right_5 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR), - .Y(_1521_)); - sky130_fd_sc_hd__xnor2_1 _4551_ (.A(\mandelbrot.alu.in_ci[7] ), - .B(_1520_), - .VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_6_Right_6 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR), - .Y(_1522_)); - sky130_fd_sc_hd__xor2_1 _4552_ (.A(_1235_), - .B(_1499_), - .VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_7_Right_7 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR), - .X(_1523_)); - sky130_fd_sc_hd__nand2_1 _4553_ (.A(\mandelbrot.alu.in_ci[6] ), - .B(_1523_), - .VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_8_Right_8 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR), - .Y(_1524_)); - sky130_fd_sc_hd__xnor2_1 _4554_ (.A(\mandelbrot.alu.in_ci[6] ), - .B(_1523_), - .VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_9_Right_9 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR), - .Y(_1525_)); - sky130_fd_sc_hd__xor2_1 _4555_ (.A(_1278_), - .B(_1498_), - .VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_10_Right_10 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR), - .X(_1526_)); - sky130_fd_sc_hd__nand2_1 _4556_ (.A(\mandelbrot.alu.in_ci[5] ), - .B(_1526_), - .VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_11_Right_11 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR), - .Y(_1527_)); - sky130_fd_sc_hd__xnor2_1 _4557_ (.A(\mandelbrot.alu.in_ci[5] ), - .B(_1526_), - .VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_12_Right_12 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR), - .Y(_1528_)); - sky130_fd_sc_hd__xor2_1 _4558_ (.A(_1317_), - .B(_1497_), - .VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_13_Right_13 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR), - .X(_1529_)); - sky130_fd_sc_hd__nand2_1 _4559_ (.A(\mandelbrot.alu.in_ci[4] ), - .B(_1529_), - .VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_14_Right_14 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR), - .Y(_1530_)); - sky130_fd_sc_hd__xor2_1 _4560_ (.A(_1355_), - .B(_1496_), - .VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_15_Right_15 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR), - .X(_1531_)); - sky130_fd_sc_hd__nand2_1 _4561_ (.A(\mandelbrot.alu.in_ci[3] ), - .B(_1531_), - .VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_16_Right_16 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR), - .Y(_1532_)); - sky130_fd_sc_hd__xnor2_1 _4562_ (.A(\mandelbrot.alu.in_ci[3] ), - .B(_1531_), - .VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_17_Right_17 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR), - .Y(_1533_)); - sky130_fd_sc_hd__xor2_1 _4563_ (.A(_1386_), - .B(_1495_), - .VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_18_Right_18 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR), - .X(_1534_)); - sky130_fd_sc_hd__nand2_1 _4564_ (.A(\mandelbrot.alu.in_ci[2] ), - .B(_1534_), - .VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_19_Right_19 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR), - .Y(_1535_)); - sky130_fd_sc_hd__xor2_2 _4565_ (.A(_1416_), - .B(_1494_), - .VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_20_Right_20 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR), - .X(_1536_)); - sky130_fd_sc_hd__nand2_1 _4566_ (.A(\mandelbrot.alu.in_ci[1] ), - .B(_1536_), - .VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_21_Right_21 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR), - .Y(_1537_)); - sky130_fd_sc_hd__xor2_2 _4567_ (.A(_1442_), - .B(_1493_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1538_)); - sky130_fd_sc_hd__nand2_1 _4568_ (.A(\mandelbrot.alu.in_ci[0] ), - .B(_1538_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1539_)); - sky130_fd_sc_hd__xnor2_1 _4569_ (.A(\mandelbrot.alu.in_ci[1] ), - .B(_1536_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1540_)); - sky130_fd_sc_hd__or2_1 _4570_ (.A(_1539_), - .B(_1540_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1541_)); - sky130_fd_sc_hd__xnor2_1 _4571_ (.A(\mandelbrot.alu.in_ci[2] ), - .B(_1534_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1542_)); - sky130_fd_sc_hd__a21o_1 _4572_ (.A1(_1537_), - .A2(_1541_), - .B1(_1542_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1543_)); - sky130_fd_sc_hd__a21o_1 _4573_ (.A1(_1535_), - .A2(_1543_), - .B1(_1533_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1544_)); - sky130_fd_sc_hd__xnor2_1 _4574_ (.A(\mandelbrot.alu.in_ci[4] ), - .B(_1529_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1545_)); - sky130_fd_sc_hd__a21o_1 _4575_ (.A1(_1532_), - .A2(_1544_), - .B1(_1545_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1546_)); - sky130_fd_sc_hd__a21o_1 _4576_ (.A1(_1530_), - .A2(_1546_), - .B1(_1528_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1547_)); - sky130_fd_sc_hd__a21o_1 _4577_ (.A1(_1527_), - .A2(_1547_), - .B1(_1525_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1548_)); - sky130_fd_sc_hd__a21o_1 _4578_ (.A1(_1524_), - .A2(_1548_), - .B1(_1522_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1549_)); - sky130_fd_sc_hd__xnor2_1 _4579_ (.A(\mandelbrot.alu.in_ci[8] ), - .B(_1518_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1550_)); - sky130_fd_sc_hd__a21o_1 _4580_ (.A1(_1521_), - .A2(_1549_), - .B1(_1550_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1551_)); - sky130_fd_sc_hd__a21o_1 _4581_ (.A1(_1519_), - .A2(_1551_), - .B1(_1517_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1552_)); - sky130_fd_sc_hd__a21o_1 _4582_ (.A1(_1516_), - .A2(_1552_), - .B1(_1514_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1553_)); - sky130_fd_sc_hd__a21oi_1 _4583_ (.A1(_1513_), - .A2(_1553_), - .B1(_1511_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1554_)); - sky130_fd_sc_hd__a21oi_1 _4584_ (.A1(\mandelbrot.alu.in_ci[10] ), - .A2(_1510_), - .B1(_1554_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1555_)); - sky130_fd_sc_hd__o21ba_1 _4585_ (.A1(_1002_), - .A2(_1509_), - .B1_N(_1001_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1556_)); - sky130_fd_sc_hd__a21o_1 _4586_ (.A1(_0880_), - .A2(_0930_), - .B1(_0928_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1557_)); - sky130_fd_sc_hd__o211a_1 _4587_ (.A1(net48), - .A2(net43), - .B1(_0885_), - .C1(_0886_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1558_)); - sky130_fd_sc_hd__a31o_1 _4588_ (.A1(net48), - .A2(net108), - .A3(_0887_), - .B1(_0888_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1559_)); - sky130_fd_sc_hd__o21a_1 _4589_ (.A1(net44), - .A2(_2395_), - .B1(_1559_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1560_)); - sky130_fd_sc_hd__nor3_1 _4590_ (.A(_0884_), - .B(_1558_), - .C(_1560_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1561_)); - sky130_fd_sc_hd__o21a_1 _4591_ (.A1(_1558_), - .A2(_1560_), - .B1(_0884_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1562_)); - sky130_fd_sc_hd__nor2_1 _4592_ (.A(_1561_), - .B(_1562_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1563_)); - sky130_fd_sc_hd__o22a_1 _4593_ (.A1(_0891_), - .A2(_0894_), - .B1(_0895_), - .B2(_0884_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1564_)); - sky130_fd_sc_hd__xnor2_1 _4594_ (.A(_1563_), - .B(_1564_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1565_)); - sky130_fd_sc_hd__nand2_1 _4595_ (.A(_0882_), - .B(_1565_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1566_)); - sky130_fd_sc_hd__or2_1 _4596_ (.A(_0882_), - .B(_1565_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1567_)); - sky130_fd_sc_hd__nand2_1 _4597_ (.A(_1566_), - .B(_1567_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1568_)); - sky130_fd_sc_hd__a21oi_1 _4598_ (.A1(_0907_), - .A2(_0910_), - .B1(_1568_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1569_)); - sky130_fd_sc_hd__and3_1 _4599_ (.A(_0907_), - .B(_0910_), - .C(_1568_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1570_)); - sky130_fd_sc_hd__or2_1 _4600_ (.A(_1569_), - .B(_1570_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1571_)); - sky130_fd_sc_hd__xnor2_1 _4601_ (.A(_0880_), - .B(_1571_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1572_)); - sky130_fd_sc_hd__xor2_1 _4602_ (.A(_1557_), - .B(_1572_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1573_)); - sky130_fd_sc_hd__and2_1 _4603_ (.A(_0878_), - .B(_1573_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1574_)); - sky130_fd_sc_hd__nor2_1 _4604_ (.A(_0878_), - .B(_1573_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1575_)); - sky130_fd_sc_hd__nor2_1 _4605_ (.A(_1574_), - .B(_1575_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1576_)); - sky130_fd_sc_hd__o21a_1 _4606_ (.A1(_0957_), - .A2(_0960_), - .B1(_1576_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1577_)); - sky130_fd_sc_hd__nor3_1 _4607_ (.A(_0957_), - .B(_0960_), - .C(_1576_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1578_)); - sky130_fd_sc_hd__nor2_1 _4608_ (.A(_1577_), - .B(_1578_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1579_)); - sky130_fd_sc_hd__xnor2_1 _4609_ (.A(_1556_), - .B(_1579_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1580_)); - sky130_fd_sc_hd__xnor2_1 _4610_ (.A(\mandelbrot.alu.in_ci[10] ), - .B(_1580_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1581_)); - sky130_fd_sc_hd__xnor2_1 _4611_ (.A(_1555_), - .B(_1581_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1582_)); - sky130_fd_sc_hd__o21ba_1 _4612_ (.A1(_1556_), - .A2(_1578_), - .B1_N(_1577_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1583_)); - sky130_fd_sc_hd__a21oi_1 _4613_ (.A1(_1557_), - .A2(_1572_), - .B1(_1574_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1584_)); - sky130_fd_sc_hd__o31a_1 _4614_ (.A1(_1561_), - .A2(_1562_), - .A3(_1564_), - .B1(_1566_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1585_)); - sky130_fd_sc_hd__xnor2_1 _4615_ (.A(_0882_), - .B(_1562_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1586_)); - sky130_fd_sc_hd__xnor2_1 _4616_ (.A(_0878_), - .B(_1586_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1587_)); - sky130_fd_sc_hd__xnor2_1 _4617_ (.A(_1585_), - .B(_1587_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1588_)); - sky130_fd_sc_hd__mux2_1 _4618_ (.A0(_1569_), - .A1(_1570_), - .S(_0880_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1589_)); - sky130_fd_sc_hd__xnor2_1 _4619_ (.A(_1588_), - .B(_1589_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1590_)); - sky130_fd_sc_hd__xnor2_1 _4620_ (.A(_1584_), - .B(_1590_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1591_)); - sky130_fd_sc_hd__xnor2_1 _4621_ (.A(_1583_), - .B(_1591_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1592_)); - sky130_fd_sc_hd__and3_1 _4622_ (.A(_1511_), - .B(_1513_), - .C(_1553_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1593_)); - sky130_fd_sc_hd__nor2_1 _4623_ (.A(_1554_), - .B(_1593_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1594_)); - sky130_fd_sc_hd__nand3_1 _4624_ (.A(_1514_), - .B(_1516_), - .C(_1552_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1595_)); - sky130_fd_sc_hd__nand2_1 _4625_ (.A(_1553_), - .B(_1595_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1596_)); - sky130_fd_sc_hd__inv_2 _4626_ (.A(_1596_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1597_)); - sky130_fd_sc_hd__o211a_1 _4627_ (.A1(\mandelbrot.alu.in_ci[10] ), - .A2(_1592_), - .B1(_1594_), - .C1(_1597_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1598_)); - sky130_fd_sc_hd__nor2_1 _4628_ (.A(_1594_), - .B(_1597_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1599_)); - sky130_fd_sc_hd__mux2_1 _4629_ (.A0(_1598_), - .A1(_1599_), - .S(_1582_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1600_)); - sky130_fd_sc_hd__or3b_1 _4630_ (.A(_0854_), - .B(_0855_), - .C_N(_1600_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1601_)); - sky130_fd_sc_hd__a22o_1 _4631_ (.A1(net177), - .A2(net28), - .B1(net20), - .B2(_1601_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0004_)); - sky130_fd_sc_hd__mux2_1 _4632_ (.A0(net20), - .A1(net28), - .S(\mandelbrot.ctr[0] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0005_)); - sky130_fd_sc_hd__or2_1 _4633_ (.A(net28), - .B(net20), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1602_)); - sky130_fd_sc_hd__inv_2 _4634_ (.A(net15), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1603_)); - sky130_fd_sc_hd__nand2_1 _4635_ (.A(\mandelbrot.ctr[0] ), - .B(\mandelbrot.ctr[1] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1604_)); - sky130_fd_sc_hd__a21o_1 _4636_ (.A1(\mandelbrot.ctr[0] ), - .A2(_1846_), - .B1(\mandelbrot.ctr[1] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1605_)); - sky130_fd_sc_hd__o211a_1 _4637_ (.A1(net28), - .A2(_1604_), - .B1(_1605_), - .C1(net17), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0006_)); - sky130_fd_sc_hd__and3_1 _4638_ (.A(\mandelbrot.ctr[0] ), - .B(\mandelbrot.ctr[1] ), - .C(\mandelbrot.ctr[2] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1606_)); - sky130_fd_sc_hd__inv_2 _4639_ (.A(_1606_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1607_)); - sky130_fd_sc_hd__a31o_1 _4640_ (.A1(\mandelbrot.ctr[0] ), - .A2(\mandelbrot.ctr[1] ), - .A3(_1846_), - .B1(\mandelbrot.ctr[2] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1608_)); - sky130_fd_sc_hd__o211a_1 _4641_ (.A1(net28), - .A2(_1607_), - .B1(_1608_), - .C1(net17), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0007_)); - sky130_fd_sc_hd__nand2_1 _4642_ (.A(\mandelbrot.ctr[3] ), - .B(_1606_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1609_)); - sky130_fd_sc_hd__or2_1 _4643_ (.A(\mandelbrot.ctr[3] ), - .B(_1606_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1610_)); - sky130_fd_sc_hd__a32o_1 _4644_ (.A1(net20), - .A2(_1609_), - .A3(_1610_), - .B1(net28), - .B2(net255), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0008_)); - sky130_fd_sc_hd__or3_1 _4645_ (.A(_1828_), - .B(net28), - .C(_1609_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1611_)); - sky130_fd_sc_hd__o21ai_1 _4646_ (.A1(net28), - .A2(_1609_), - .B1(_1828_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1612_)); - sky130_fd_sc_hd__and3_1 _4647_ (.A(net17), - .B(_1611_), - .C(_1612_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0009_)); - sky130_fd_sc_hd__or3_1 _4648_ (.A(_1828_), - .B(_1829_), - .C(_1609_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1613_)); - sky130_fd_sc_hd__a31o_1 _4649_ (.A1(\mandelbrot.ctr[3] ), - .A2(\mandelbrot.ctr[4] ), - .A3(_1606_), - .B1(\mandelbrot.ctr[5] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1614_)); - sky130_fd_sc_hd__a32o_1 _4650_ (.A1(net20), - .A2(_1613_), - .A3(_1614_), - .B1(net28), - .B2(net257), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0010_)); - sky130_fd_sc_hd__xnor2_1 _4651_ (.A(\mandelbrot.ctr[6] ), - .B(_1613_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1615_)); - sky130_fd_sc_hd__a22o_1 _4652_ (.A1(\mandelbrot.ctr[6] ), - .A2(net28), - .B1(net20), - .B2(_1615_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0011_)); - sky130_fd_sc_hd__or2_1 _4653_ (.A(\mandelbrot.alu.in_ci[0] ), - .B(_1538_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1616_)); - sky130_fd_sc_hd__a32o_1 _4654_ (.A1(net18), - .A2(_1539_), - .A3(_1616_), - .B1(net26), - .B2(net150), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0012_)); - sky130_fd_sc_hd__nand2_1 _4655_ (.A(_1539_), - .B(_1540_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1617_)); - sky130_fd_sc_hd__a32o_1 _4656_ (.A1(net18), - .A2(_1541_), - .A3(_1617_), - .B1(net26), - .B2(net147), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0013_)); - sky130_fd_sc_hd__nand3_1 _4657_ (.A(_1537_), - .B(_1541_), - .C(_1542_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1618_)); - sky130_fd_sc_hd__a32o_1 _4658_ (.A1(net18), - .A2(_1543_), - .A3(_1618_), - .B1(net26), - .B2(net139), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0014_)); - sky130_fd_sc_hd__nand3_1 _4659_ (.A(_1533_), - .B(_1535_), - .C(_1543_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1619_)); - sky130_fd_sc_hd__a32o_1 _4660_ (.A1(net21), - .A2(_1544_), - .A3(_1619_), - .B1(net29), - .B2(net137), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0015_)); - sky130_fd_sc_hd__nand3_1 _4661_ (.A(_1532_), - .B(_1544_), - .C(_1545_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1620_)); - sky130_fd_sc_hd__a32o_1 _4662_ (.A1(net18), - .A2(_1546_), - .A3(_1620_), - .B1(net26), - .B2(net128), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0016_)); - sky130_fd_sc_hd__nand3_1 _4663_ (.A(_1528_), - .B(_1530_), - .C(_1546_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1621_)); - sky130_fd_sc_hd__a32o_1 _4664_ (.A1(net18), - .A2(_1547_), - .A3(_1621_), - .B1(net26), - .B2(net125), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0017_)); - sky130_fd_sc_hd__nand3_1 _4665_ (.A(_1525_), - .B(_1527_), - .C(_1547_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1622_)); - sky130_fd_sc_hd__a32o_1 _4666_ (.A1(net18), - .A2(_1548_), - .A3(_1622_), - .B1(net26), - .B2(net117), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0018_)); - sky130_fd_sc_hd__nand3_1 _4667_ (.A(_1522_), - .B(_1524_), - .C(_1548_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1623_)); - sky130_fd_sc_hd__a32o_1 _4668_ (.A1(net18), - .A2(_1549_), - .A3(_1623_), - .B1(net26), - .B2(net115), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0019_)); - sky130_fd_sc_hd__nand3_1 _4669_ (.A(_1521_), - .B(_1549_), - .C(_1550_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1624_)); - sky130_fd_sc_hd__a32o_1 _4670_ (.A1(net18), - .A2(_1551_), - .A3(_1624_), - .B1(net26), - .B2(net111), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0020_)); - sky130_fd_sc_hd__nand3_1 _4671_ (.A(_1517_), - .B(_1519_), - .C(_1551_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1625_)); - sky130_fd_sc_hd__a32o_1 _4672_ (.A1(net18), - .A2(_1552_), - .A3(_1625_), - .B1(net26), - .B2(net105), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0021_)); - sky130_fd_sc_hd__a22o_1 _4673_ (.A1(net100), - .A2(net26), - .B1(net18), - .B2(_1597_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0022_)); - sky130_fd_sc_hd__nand2_1 _4674_ (.A(\mandelbrot.x[1] ), - .B(\mandelbrot.x[0] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1626_)); - sky130_fd_sc_hd__nand3_1 _4675_ (.A(\mandelbrot.x[1] ), - .B(\mandelbrot.x[0] ), - .C(\mandelbrot.x[2] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1627_)); - sky130_fd_sc_hd__and4_1 _4676_ (.A(\mandelbrot.x[1] ), - .B(\mandelbrot.x[0] ), - .C(\mandelbrot.x[2] ), - .D(\mandelbrot.x[3] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1628_)); - sky130_fd_sc_hd__inv_2 _4677_ (.A(_1628_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1629_)); - sky130_fd_sc_hd__and2_1 _4678_ (.A(\mandelbrot.x[4] ), - .B(_1628_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1630_)); - sky130_fd_sc_hd__and3_1 _4679_ (.A(\mandelbrot.x[4] ), - .B(\mandelbrot.x[5] ), - .C(_1628_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1631_)); - sky130_fd_sc_hd__inv_2 _4680_ (.A(_1631_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1632_)); - sky130_fd_sc_hd__and4bb_1 _4681_ (.A_N(\mandelbrot.x[6] ), - .B_N(\mandelbrot.x[7] ), - .C(\mandelbrot.x[8] ), - .D(_1631_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1633_)); - sky130_fd_sc_hd__nor2_2 _4682_ (.A(\mandelbrot.stopped ), - .B(_1633_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1634_)); - sky130_fd_sc_hd__nor3_2 _4683_ (.A(net29), - .B(net20), - .C(_1634_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1635_)); - sky130_fd_sc_hd__or2_1 _4684_ (.A(net16), - .B(_1634_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1636_)); - sky130_fd_sc_hd__xnor2_1 _4685_ (.A(\mandelbrot.scaling[0] ), - .B(\mandelbrot.alu.in_ci[0] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1637_)); - sky130_fd_sc_hd__mux2_1 _4686_ (.A0(\mandelbrot.ci_offset[0] ), - .A1(_1637_), - .S(net46), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1638_)); - sky130_fd_sc_hd__mux2_1 _4687_ (.A0(\mandelbrot.alu.in_ci[0] ), - .A1(_1638_), - .S(net12), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0023_)); - sky130_fd_sc_hd__nand2_1 _4688_ (.A(\mandelbrot.scaling[1] ), - .B(\mandelbrot.alu.in_ci[1] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1639_)); - sky130_fd_sc_hd__or2_1 _4689_ (.A(\mandelbrot.scaling[1] ), - .B(\mandelbrot.alu.in_ci[1] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1640_)); - sky130_fd_sc_hd__o211a_1 _4690_ (.A1(\mandelbrot.scaling[0] ), - .A2(\mandelbrot.alu.in_ci[0] ), - .B1(_1639_), - .C1(_1640_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1641_)); - sky130_fd_sc_hd__a211o_1 _4691_ (.A1(_1639_), - .A2(_1640_), - .B1(\mandelbrot.scaling[0] ), - .C1(\mandelbrot.alu.in_ci[0] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1642_)); - sky130_fd_sc_hd__or3b_1 _4692_ (.A(net98), - .B(_1641_), - .C_N(_1642_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1643_)); - sky130_fd_sc_hd__a21bo_1 _4693_ (.A1(net98), - .A2(\mandelbrot.ci_offset[1] ), - .B1_N(_1643_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1644_)); - sky130_fd_sc_hd__mux2_1 _4694_ (.A0(\mandelbrot.alu.in_ci[1] ), - .A1(_1644_), - .S(net12), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0024_)); - sky130_fd_sc_hd__a21oi_1 _4695_ (.A1(\mandelbrot.scaling[1] ), - .A2(\mandelbrot.alu.in_ci[1] ), - .B1(_1641_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1645_)); - sky130_fd_sc_hd__xnor2_1 _4696_ (.A(\mandelbrot.alu.in_ci[2] ), - .B(_1645_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1646_)); - sky130_fd_sc_hd__mux2_1 _4697_ (.A0(net268), - .A1(_1646_), - .S(net46), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1647_)); - sky130_fd_sc_hd__mux2_1 _4698_ (.A0(\mandelbrot.alu.in_ci[2] ), - .A1(_1647_), - .S(net12), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0025_)); - sky130_fd_sc_hd__and3b_1 _4699_ (.A_N(_1645_), - .B(\mandelbrot.alu.in_ci[3] ), - .C(\mandelbrot.alu.in_ci[2] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1648_)); - sky130_fd_sc_hd__o21ba_1 _4700_ (.A1(_1833_), - .A2(_1645_), - .B1_N(\mandelbrot.alu.in_ci[3] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1649_)); - sky130_fd_sc_hd__nor2_1 _4701_ (.A(_1648_), - .B(_1649_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1650_)); - sky130_fd_sc_hd__mux2_1 _4702_ (.A0(\mandelbrot.ci_offset[3] ), - .A1(_1650_), - .S(net47), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1651_)); - sky130_fd_sc_hd__mux2_1 _4703_ (.A0(\mandelbrot.alu.in_ci[3] ), - .A1(_1651_), - .S(_1635_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0026_)); - sky130_fd_sc_hd__and2_1 _4704_ (.A(\mandelbrot.alu.in_ci[4] ), - .B(_1648_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1652_)); - sky130_fd_sc_hd__nor2_1 _4705_ (.A(\mandelbrot.alu.in_ci[4] ), - .B(_1648_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1653_)); - sky130_fd_sc_hd__nor2_1 _4706_ (.A(_1652_), - .B(_1653_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1654_)); - sky130_fd_sc_hd__mux2_1 _4707_ (.A0(\mandelbrot.ci_offset[4] ), - .A1(_1654_), - .S(net46), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1655_)); - sky130_fd_sc_hd__mux2_1 _4708_ (.A0(\mandelbrot.alu.in_ci[4] ), - .A1(_1655_), - .S(net12), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0027_)); - sky130_fd_sc_hd__xor2_1 _4709_ (.A(\mandelbrot.alu.in_ci[5] ), - .B(_1652_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1656_)); - sky130_fd_sc_hd__mux2_1 _4710_ (.A0(\mandelbrot.ci_offset[5] ), - .A1(_1656_), - .S(net46), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1657_)); - sky130_fd_sc_hd__mux2_1 _4711_ (.A0(\mandelbrot.alu.in_ci[5] ), - .A1(_1657_), - .S(net12), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0028_)); - sky130_fd_sc_hd__and3_1 _4712_ (.A(\mandelbrot.alu.in_ci[5] ), - .B(\mandelbrot.alu.in_ci[6] ), - .C(_1652_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1658_)); - sky130_fd_sc_hd__a21oi_1 _4713_ (.A1(\mandelbrot.alu.in_ci[5] ), - .A2(_1652_), - .B1(\mandelbrot.alu.in_ci[6] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1659_)); - sky130_fd_sc_hd__nor2_1 _4714_ (.A(_1658_), - .B(_1659_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1660_)); - sky130_fd_sc_hd__mux2_1 _4715_ (.A0(\mandelbrot.ci_offset[6] ), - .A1(_1660_), - .S(net46), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1661_)); - sky130_fd_sc_hd__mux2_1 _4716_ (.A0(net263), - .A1(_1661_), - .S(net12), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0029_)); - sky130_fd_sc_hd__and2_1 _4717_ (.A(\mandelbrot.alu.in_ci[7] ), - .B(_1658_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1662_)); - sky130_fd_sc_hd__nor2_1 _4718_ (.A(\mandelbrot.alu.in_ci[7] ), - .B(_1658_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1663_)); - sky130_fd_sc_hd__o21ai_1 _4719_ (.A1(_1662_), - .A2(_1663_), - .B1(net46), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1664_)); - sky130_fd_sc_hd__o21a_1 _4720_ (.A1(net46), - .A2(\mandelbrot.ci_offset[7] ), - .B1(_1664_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1665_)); - sky130_fd_sc_hd__mux2_1 _4721_ (.A0(net262), - .A1(_1665_), - .S(net12), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0030_)); - sky130_fd_sc_hd__xor2_1 _4722_ (.A(\mandelbrot.alu.in_ci[8] ), - .B(_1662_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1666_)); - sky130_fd_sc_hd__mux2_1 _4723_ (.A0(net266), - .A1(_1666_), - .S(net46), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1667_)); - sky130_fd_sc_hd__mux2_1 _4724_ (.A0(\mandelbrot.alu.in_ci[8] ), - .A1(_1667_), - .S(net12), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0031_)); - sky130_fd_sc_hd__and3_1 _4725_ (.A(\mandelbrot.alu.in_ci[8] ), - .B(\mandelbrot.alu.in_ci[9] ), - .C(_1662_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1668_)); - sky130_fd_sc_hd__a21oi_1 _4726_ (.A1(\mandelbrot.alu.in_ci[8] ), - .A2(_1662_), - .B1(\mandelbrot.alu.in_ci[9] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1669_)); - sky130_fd_sc_hd__nor2_1 _4727_ (.A(_1668_), - .B(_1669_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1670_)); - sky130_fd_sc_hd__mux2_1 _4728_ (.A0(\mandelbrot.ci_offset[9] ), - .A1(_1670_), - .S(net46), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1671_)); - sky130_fd_sc_hd__mux2_1 _4729_ (.A0(net265), - .A1(_1671_), - .S(net12), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0032_)); - sky130_fd_sc_hd__xor2_1 _4730_ (.A(\mandelbrot.alu.in_ci[10] ), - .B(_1668_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1672_)); - sky130_fd_sc_hd__mux2_1 _4731_ (.A0(\mandelbrot.ci_offset[10] ), - .A1(_1672_), - .S(net46), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1673_)); - sky130_fd_sc_hd__mux2_1 _4732_ (.A0(\mandelbrot.alu.in_ci[10] ), - .A1(_1673_), - .S(net12), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0033_)); - sky130_fd_sc_hd__nor2_4 _4733_ (.A(net98), - .B(net22), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1674_)); - sky130_fd_sc_hd__and2b_1 _4734_ (.A_N(_0757_), - .B(_1634_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1675_)); - sky130_fd_sc_hd__nor2_1 _4735_ (.A(\mandelbrot.alu.in_cr[0] ), - .B(\mandelbrot.scaling[0] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1676_)); - sky130_fd_sc_hd__and2_1 _4736_ (.A(\mandelbrot.alu.in_cr[0] ), - .B(\mandelbrot.scaling[0] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1677_)); - sky130_fd_sc_hd__o21a_1 _4737_ (.A1(_1676_), - .A2(_1677_), - .B1(net10), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1678_)); - sky130_fd_sc_hd__a221o_1 _4738_ (.A1(\mandelbrot.alu.in_cr[0] ), - .A2(net15), - .B1(net14), - .B2(net175), - .C1(_1678_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0034_)); - sky130_fd_sc_hd__and2_1 _4739_ (.A(\mandelbrot.alu.in_cr[1] ), - .B(\mandelbrot.scaling[1] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1679_)); - sky130_fd_sc_hd__nand2_1 _4740_ (.A(\mandelbrot.alu.in_cr[1] ), - .B(\mandelbrot.scaling[1] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1680_)); - sky130_fd_sc_hd__or2_1 _4741_ (.A(\mandelbrot.alu.in_cr[1] ), - .B(\mandelbrot.scaling[1] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1681_)); - sky130_fd_sc_hd__a211o_1 _4742_ (.A1(_1680_), - .A2(_1681_), - .B1(\mandelbrot.alu.in_cr[0] ), - .C1(\mandelbrot.scaling[0] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1682_)); - sky130_fd_sc_hd__o211a_1 _4743_ (.A1(\mandelbrot.alu.in_cr[0] ), - .A2(\mandelbrot.scaling[0] ), - .B1(_1680_), - .C1(_1681_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1683_)); - sky130_fd_sc_hd__and3b_1 _4744_ (.A_N(_1683_), - .B(net10), - .C(_1682_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1684_)); - sky130_fd_sc_hd__a221o_1 _4745_ (.A1(\mandelbrot.alu.in_cr[1] ), - .A2(net15), - .B1(net13), - .B2(net202), - .C1(_1684_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0035_)); - sky130_fd_sc_hd__o21a_1 _4746_ (.A1(_1679_), - .A2(_1683_), - .B1(\mandelbrot.alu.in_cr[2] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1685_)); - sky130_fd_sc_hd__or3_1 _4747_ (.A(\mandelbrot.alu.in_cr[2] ), - .B(_1679_), - .C(_1683_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1686_)); - sky130_fd_sc_hd__and3b_1 _4748_ (.A_N(_1685_), - .B(_1686_), - .C(net10), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1687_)); - sky130_fd_sc_hd__a221o_1 _4749_ (.A1(net215), - .A2(net15), - .B1(net13), - .B2(net208), - .C1(_1687_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0036_)); - sky130_fd_sc_hd__and2_1 _4750_ (.A(\mandelbrot.alu.in_cr[3] ), - .B(_1685_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1688_)); - sky130_fd_sc_hd__o21ai_1 _4751_ (.A1(\mandelbrot.alu.in_cr[3] ), - .A2(_1685_), - .B1(net10), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1689_)); - sky130_fd_sc_hd__nor2_1 _4752_ (.A(_1688_), - .B(_1689_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1690_)); - sky130_fd_sc_hd__a221o_1 _4753_ (.A1(\mandelbrot.alu.in_cr[3] ), - .A2(net15), - .B1(net13), - .B2(net178), - .C1(_1690_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0037_)); - sky130_fd_sc_hd__and3_1 _4754_ (.A(\mandelbrot.alu.in_cr[4] ), - .B(\mandelbrot.alu.in_cr[3] ), - .C(_1685_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1691_)); - sky130_fd_sc_hd__o21ai_1 _4755_ (.A1(\mandelbrot.alu.in_cr[4] ), - .A2(_1688_), - .B1(net10), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1692_)); - sky130_fd_sc_hd__nor2_1 _4756_ (.A(_1691_), - .B(_1692_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1693_)); - sky130_fd_sc_hd__a221o_1 _4757_ (.A1(\mandelbrot.alu.in_cr[4] ), - .A2(net15), - .B1(net13), - .B2(net183), - .C1(_1693_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0038_)); - sky130_fd_sc_hd__and2_1 _4758_ (.A(\mandelbrot.alu.in_cr[5] ), - .B(_1691_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1694_)); - sky130_fd_sc_hd__o21ai_1 _4759_ (.A1(\mandelbrot.alu.in_cr[5] ), - .A2(_1691_), - .B1(net10), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1695_)); - sky130_fd_sc_hd__nor2_1 _4760_ (.A(_1694_), - .B(_1695_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1696_)); - sky130_fd_sc_hd__a221o_1 _4761_ (.A1(\mandelbrot.alu.in_cr[5] ), - .A2(net15), - .B1(net13), - .B2(net194), - .C1(_1696_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0039_)); - sky130_fd_sc_hd__and3_1 _4762_ (.A(\mandelbrot.alu.in_cr[6] ), - .B(\mandelbrot.alu.in_cr[5] ), - .C(_1691_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1697_)); - sky130_fd_sc_hd__o21ai_1 _4763_ (.A1(\mandelbrot.alu.in_cr[6] ), - .A2(_1694_), - .B1(net10), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1698_)); - sky130_fd_sc_hd__nor2_1 _4764_ (.A(_1697_), - .B(_1698_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1699_)); - sky130_fd_sc_hd__a221o_1 _4765_ (.A1(net213), - .A2(net15), - .B1(net13), - .B2(net212), - .C1(_1699_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0040_)); - sky130_fd_sc_hd__and3_1 _4766_ (.A(\mandelbrot.alu.in_cr[7] ), - .B(\mandelbrot.alu.in_cr[6] ), - .C(_1694_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1700_)); - sky130_fd_sc_hd__o21ai_1 _4767_ (.A1(\mandelbrot.alu.in_cr[7] ), - .A2(_1697_), - .B1(net10), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1701_)); - sky130_fd_sc_hd__nor2_1 _4768_ (.A(_1700_), - .B(_1701_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1702_)); - sky130_fd_sc_hd__a221o_1 _4769_ (.A1(net218), - .A2(net15), - .B1(net13), - .B2(net209), - .C1(_1702_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0041_)); - sky130_fd_sc_hd__nand2_1 _4770_ (.A(\mandelbrot.alu.in_cr[8] ), - .B(_1700_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1703_)); - sky130_fd_sc_hd__or2_1 _4771_ (.A(\mandelbrot.alu.in_cr[8] ), - .B(_1700_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1704_)); - sky130_fd_sc_hd__a22o_1 _4772_ (.A1(\mandelbrot.alu.in_cr[8] ), - .A2(net17), - .B1(net13), - .B2(net199), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1705_)); - sky130_fd_sc_hd__a31o_1 _4773_ (.A1(net10), - .A2(_1703_), - .A3(_1704_), - .B1(_1705_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0042_)); - sky130_fd_sc_hd__xnor2_1 _4774_ (.A(\mandelbrot.alu.in_cr[9] ), - .B(_1703_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1706_)); - sky130_fd_sc_hd__mux2_1 _4775_ (.A0(net267), - .A1(_1706_), - .S(_1634_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1707_)); - sky130_fd_sc_hd__mux2_1 _4776_ (.A0(_1707_), - .A1(\mandelbrot.alu.in_cr[9] ), - .S(net17), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0043_)); - sky130_fd_sc_hd__nand4_1 _4777_ (.A(\mandelbrot.alu.in_cr[10] ), - .B(\mandelbrot.alu.in_cr[9] ), - .C(\mandelbrot.alu.in_cr[8] ), - .D(_1700_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1708_)); - sky130_fd_sc_hd__a31o_1 _4778_ (.A1(\mandelbrot.alu.in_cr[9] ), - .A2(\mandelbrot.alu.in_cr[8] ), - .A3(_1700_), - .B1(\mandelbrot.alu.in_cr[10] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1709_)); - sky130_fd_sc_hd__a32o_1 _4779_ (.A1(net10), - .A2(_1708_), - .A3(_1709_), - .B1(net17), - .B2(\mandelbrot.alu.in_cr[10] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1710_)); - sky130_fd_sc_hd__a21o_1 _4780_ (.A1(net196), - .A2(net14), - .B1(_1710_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0044_)); - sky130_fd_sc_hd__mux4_1 _4781_ (.A0(\mandelbrot.ctr[0] ), - .A1(\mandelbrot.ctr[1] ), - .A2(\mandelbrot.ctr[2] ), - .A3(\mandelbrot.ctr[3] ), - .S0(\mandelbrot.ctr_select[0] ), - .S1(\mandelbrot.ctr_select[1] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1711_)); - sky130_fd_sc_hd__mux2_1 _4782_ (.A0(uio_out[0]), - .A1(_1711_), - .S(_1674_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0045_)); - sky130_fd_sc_hd__mux4_1 _4783_ (.A0(\mandelbrot.ctr[1] ), - .A1(\mandelbrot.ctr[2] ), - .A2(\mandelbrot.ctr[3] ), - .A3(\mandelbrot.ctr[4] ), - .S0(\mandelbrot.ctr_select[0] ), - .S1(\mandelbrot.ctr_select[1] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1712_)); - sky130_fd_sc_hd__mux2_1 _4784_ (.A0(uio_out[1]), - .A1(_1712_), - .S(_1674_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0046_)); - sky130_fd_sc_hd__mux4_1 _4785_ (.A0(\mandelbrot.ctr[2] ), - .A1(\mandelbrot.ctr[3] ), - .A2(\mandelbrot.ctr[4] ), - .A3(\mandelbrot.ctr[5] ), - .S0(\mandelbrot.ctr_select[0] ), - .S1(\mandelbrot.ctr_select[1] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1713_)); - sky130_fd_sc_hd__mux2_1 _4786_ (.A0(uio_out[2]), - .A1(_1713_), - .S(_1674_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0047_)); - sky130_fd_sc_hd__mux4_1 _4787_ (.A0(\mandelbrot.ctr[3] ), - .A1(\mandelbrot.ctr[4] ), - .A2(\mandelbrot.ctr[5] ), - .A3(\mandelbrot.ctr[6] ), - .S0(\mandelbrot.ctr_select[0] ), - .S1(\mandelbrot.ctr_select[1] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1714_)); - sky130_fd_sc_hd__mux2_1 _4788_ (.A0(uio_out[3]), - .A1(_1714_), - .S(_1674_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0048_)); - sky130_fd_sc_hd__and2_1 _4789_ (.A(_1633_), - .B(_1674_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1715_)); - sky130_fd_sc_hd__and4_1 _4790_ (.A(\mandelbrot.y[1] ), - .B(\mandelbrot.y[0] ), - .C(\mandelbrot.y[3] ), - .D(\mandelbrot.y[2] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1716_)); - sky130_fd_sc_hd__nand4_1 _4791_ (.A(\mandelbrot.y[5] ), - .B(\mandelbrot.y[6] ), - .C(\mandelbrot.y[7] ), - .D(_1716_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1717_)); - sky130_fd_sc_hd__o211a_1 _4792_ (.A1(\mandelbrot.y[4] ), - .A2(_1717_), - .B1(_1674_), - .C1(_1633_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1718_)); - sky130_fd_sc_hd__nor2_1 _4793_ (.A(net47), - .B(net261), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1719_)); - sky130_fd_sc_hd__or3_1 _4794_ (.A(net20), - .B(_1634_), - .C(_1719_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1720_)); - sky130_fd_sc_hd__or3_1 _4795_ (.A(net98), - .B(_1718_), - .C(_1720_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1721_)); - sky130_fd_sc_hd__o21a_1 _4796_ (.A1(_1718_), - .A2(_1720_), - .B1(\mandelbrot.finished ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1722_)); - sky130_fd_sc_hd__or3b_1 _4797_ (.A(_1722_), - .B(_1835_), - .C_N(_1721_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0049_)); - sky130_fd_sc_hd__mux2_1 _4798_ (.A0(net11), - .A1(net16), - .S(\mandelbrot.x[0] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0050_)); - sky130_fd_sc_hd__or2_1 _4799_ (.A(\mandelbrot.x[1] ), - .B(\mandelbrot.x[0] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1723_)); - sky130_fd_sc_hd__a32o_1 _4800_ (.A1(_1626_), - .A2(net11), - .A3(_1723_), - .B1(net16), - .B2(\mandelbrot.x[1] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0051_)); - sky130_fd_sc_hd__a21o_1 _4801_ (.A1(\mandelbrot.x[1] ), - .A2(\mandelbrot.x[0] ), - .B1(\mandelbrot.x[2] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1724_)); - sky130_fd_sc_hd__a32o_1 _4802_ (.A1(_1627_), - .A2(net11), - .A3(_1724_), - .B1(net15), - .B2(net247), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0052_)); - sky130_fd_sc_hd__a31o_1 _4803_ (.A1(\mandelbrot.x[1] ), - .A2(\mandelbrot.x[0] ), - .A3(\mandelbrot.x[2] ), - .B1(\mandelbrot.x[3] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1725_)); - sky130_fd_sc_hd__a32o_1 _4804_ (.A1(_1629_), - .A2(net11), - .A3(_1725_), - .B1(net16), - .B2(net186), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0053_)); - sky130_fd_sc_hd__o21ai_1 _4805_ (.A1(\mandelbrot.x[4] ), - .A2(_1628_), - .B1(net11), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1726_)); - sky130_fd_sc_hd__a2bb2o_1 _4806_ (.A1_N(_1630_), - .A2_N(_1726_), - .B1(net239), - .B2(net16), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0054_)); - sky130_fd_sc_hd__or2_1 _4807_ (.A(\mandelbrot.x[5] ), - .B(_1630_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1727_)); - sky130_fd_sc_hd__a32o_1 _4808_ (.A1(_1632_), - .A2(_1674_), - .A3(_1727_), - .B1(net16), - .B2(net182), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0055_)); - sky130_fd_sc_hd__a21o_1 _4809_ (.A1(_1603_), - .A2(_1631_), - .B1(\mandelbrot.x[6] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1728_)); - sky130_fd_sc_hd__and2_1 _4810_ (.A(\mandelbrot.x[6] ), - .B(_1631_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1729_)); - sky130_fd_sc_hd__nand2_1 _4811_ (.A(_1603_), - .B(_1729_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1730_)); - sky130_fd_sc_hd__and3_1 _4812_ (.A(_1636_), - .B(_1728_), - .C(_1730_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0056_)); - sky130_fd_sc_hd__and3b_1 _4813_ (.A_N(\mandelbrot.x[7] ), - .B(net11), - .C(_1729_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1731_)); - sky130_fd_sc_hd__a31o_1 _4814_ (.A1(net250), - .A2(_1636_), - .A3(_1730_), - .B1(_1731_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0057_)); - sky130_fd_sc_hd__nand3_1 _4815_ (.A(\mandelbrot.x[7] ), - .B(\mandelbrot.x[8] ), - .C(_1729_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1732_)); - sky130_fd_sc_hd__a31o_1 _4816_ (.A1(\mandelbrot.x[6] ), - .A2(\mandelbrot.x[7] ), - .A3(_1631_), - .B1(\mandelbrot.x[8] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1733_)); - sky130_fd_sc_hd__a32o_1 _4817_ (.A1(net11), - .A2(_1732_), - .A3(_1733_), - .B1(net16), - .B2(net220), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0058_)); - sky130_fd_sc_hd__mux2_1 _4818_ (.A0(_1715_), - .A1(_1636_), - .S(net256), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0059_)); - sky130_fd_sc_hd__a21oi_1 _4819_ (.A1(\mandelbrot.y[0] ), - .A2(net13), - .B1(\mandelbrot.y[1] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1734_)); - sky130_fd_sc_hd__and3_1 _4820_ (.A(\mandelbrot.y[1] ), - .B(\mandelbrot.y[0] ), - .C(net14), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1735_)); - sky130_fd_sc_hd__a211oi_1 _4821_ (.A1(net236), - .A2(net234), - .B1(_1734_), - .C1(_1735_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_0060_)); - sky130_fd_sc_hd__and2_1 _4822_ (.A(\mandelbrot.y[2] ), - .B(_1735_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1736_)); - sky130_fd_sc_hd__a2bb2o_1 _4823_ (.A1_N(\mandelbrot.y[2] ), - .A2_N(_1735_), - .B1(net98), - .B2(\mandelbrot.finished ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1737_)); - sky130_fd_sc_hd__nor2_1 _4824_ (.A(_1736_), - .B(_1737_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_0061_)); - sky130_fd_sc_hd__o21ai_1 _4825_ (.A1(net98), - .A2(_1716_), - .B1(net13), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1738_)); - sky130_fd_sc_hd__o21a_1 _4826_ (.A1(net180), - .A2(_1736_), - .B1(_1738_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0062_)); - sky130_fd_sc_hd__and3_1 _4827_ (.A(_1633_), - .B(_1674_), - .C(_1716_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1739_)); - sky130_fd_sc_hd__mux2_1 _4828_ (.A0(_1739_), - .A1(_1738_), - .S(net258), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0063_)); - sky130_fd_sc_hd__a21o_1 _4829_ (.A1(\mandelbrot.y[4] ), - .A2(_1716_), - .B1(\mandelbrot.y[5] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1740_)); - sky130_fd_sc_hd__and3_1 _4830_ (.A(\mandelbrot.y[4] ), - .B(\mandelbrot.y[5] ), - .C(_1716_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1741_)); - sky130_fd_sc_hd__inv_2 _4831_ (.A(_1741_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1742_)); - sky130_fd_sc_hd__a32o_1 _4832_ (.A1(_1715_), - .A2(_1740_), - .A3(_1742_), - .B1(_1636_), - .B2(net217), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0064_)); - sky130_fd_sc_hd__nor2_1 _4833_ (.A(_1636_), - .B(_1742_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1743_)); - sky130_fd_sc_hd__a21o_1 _4834_ (.A1(\mandelbrot.y[6] ), - .A2(_1741_), - .B1(net98), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1744_)); - sky130_fd_sc_hd__nand2_1 _4835_ (.A(net14), - .B(_1744_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1745_)); - sky130_fd_sc_hd__o21a_1 _4836_ (.A1(net242), - .A2(_1743_), - .B1(_1745_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0065_)); - sky130_fd_sc_hd__and3_1 _4837_ (.A(\mandelbrot.y[6] ), - .B(_1715_), - .C(_1741_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1746_)); - sky130_fd_sc_hd__mux2_1 _4838_ (.A0(_1746_), - .A1(_1745_), - .S(net224), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0066_)); - sky130_fd_sc_hd__or3_1 _4839_ (.A(_1835_), - .B(_1674_), - .C(_1719_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0067_)); - sky130_fd_sc_hd__and3b_2 _4840_ (.A_N(\l_sclk[2] ), - .B(\l_sen[2] ), - .C(\l_sclk[1] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1747_)); - sky130_fd_sc_hd__mux2_1 _4841_ (.A0(net175), - .A1(\mandelbrot.cr_offset[1] ), - .S(net31), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0068_)); - sky130_fd_sc_hd__mux2_1 _4842_ (.A0(net202), - .A1(\mandelbrot.cr_offset[2] ), - .S(net31), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0069_)); - sky130_fd_sc_hd__mux2_1 _4843_ (.A0(net208), - .A1(net178), - .S(net31), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0070_)); - sky130_fd_sc_hd__mux2_1 _4844_ (.A0(net178), - .A1(net183), - .S(net31), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0071_)); - sky130_fd_sc_hd__mux2_1 _4845_ (.A0(net183), - .A1(net194), - .S(net31), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0072_)); - sky130_fd_sc_hd__mux2_1 _4846_ (.A0(net194), - .A1(net212), - .S(net32), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0073_)); - sky130_fd_sc_hd__mux2_1 _4847_ (.A0(net212), - .A1(net209), - .S(net31), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0074_)); - sky130_fd_sc_hd__mux2_1 _4848_ (.A0(net209), - .A1(net199), - .S(net31), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0075_)); - sky130_fd_sc_hd__mux2_1 _4849_ (.A0(net199), - .A1(net192), - .S(net31), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0076_)); - sky130_fd_sc_hd__mux2_1 _4850_ (.A0(net192), - .A1(\mandelbrot.cr_offset[10] ), - .S(net31), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0077_)); - sky130_fd_sc_hd__mux2_1 _4851_ (.A0(net196), - .A1(net189), - .S(net30), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0078_)); - sky130_fd_sc_hd__mux2_1 _4852_ (.A0(net189), - .A1(\mandelbrot.ci_offset[1] ), - .S(net30), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0079_)); - sky130_fd_sc_hd__mux2_1 _4853_ (.A0(\mandelbrot.ci_offset[1] ), - .A1(net204), - .S(net30), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0080_)); - sky130_fd_sc_hd__mux2_1 _4854_ (.A0(net204), - .A1(net207), - .S(_1747_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0081_)); - sky130_fd_sc_hd__mux2_1 _4855_ (.A0(\mandelbrot.ci_offset[3] ), - .A1(net197), - .S(_1747_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0082_)); - sky130_fd_sc_hd__mux2_1 _4856_ (.A0(net197), - .A1(net191), - .S(net30), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0083_)); - sky130_fd_sc_hd__mux2_1 _4857_ (.A0(net191), - .A1(net184), - .S(net30), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0084_)); - sky130_fd_sc_hd__mux2_1 _4858_ (.A0(net184), - .A1(\mandelbrot.ci_offset[7] ), - .S(net30), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0085_)); - sky130_fd_sc_hd__mux2_1 _4859_ (.A0(net201), - .A1(net200), - .S(net30), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0086_)); - sky130_fd_sc_hd__mux2_1 _4860_ (.A0(net200), - .A1(net187), - .S(net30), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0087_)); - sky130_fd_sc_hd__mux2_1 _4861_ (.A0(net187), - .A1(\mandelbrot.ci_offset[10] ), - .S(net30), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0088_)); - sky130_fd_sc_hd__mux2_1 _4862_ (.A0(net206), - .A1(\mandelbrot.scaling[0] ), - .S(net30), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0089_)); - sky130_fd_sc_hd__mux2_1 _4863_ (.A0(\mandelbrot.scaling[0] ), - .A1(\mandelbrot.scaling[1] ), - .S(net31), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0090_)); - sky130_fd_sc_hd__mux2_1 _4864_ (.A0(\mandelbrot.scaling[1] ), - .A1(\mandelbrot.ctr_select[0] ), - .S(net33), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0091_)); - sky130_fd_sc_hd__mux2_1 _4865_ (.A0(\mandelbrot.ctr_select[0] ), - .A1(\mandelbrot.ctr_select[1] ), - .S(net33), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0092_)); - sky130_fd_sc_hd__mux2_1 _4866_ (.A0(\mandelbrot.ctr_select[1] ), - .A1(net238), - .S(net33), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0093_)); - sky130_fd_sc_hd__mux2_1 _4867_ (.A0(net238), - .A1(net223), - .S(net33), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0094_)); - sky130_fd_sc_hd__mux2_1 _4868_ (.A0(net223), - .A1(net221), - .S(net33), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0095_)); - sky130_fd_sc_hd__mux2_1 _4869_ (.A0(net221), - .A1(\mandelbrot.max_ctr[3] ), - .S(net32), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0096_)); - sky130_fd_sc_hd__mux2_1 _4870_ (.A0(net229), - .A1(\mandelbrot.max_ctr[4] ), - .S(net32), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0097_)); - sky130_fd_sc_hd__mux2_1 _4871_ (.A0(net240), - .A1(\mandelbrot.max_ctr[5] ), - .S(net32), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0098_)); - sky130_fd_sc_hd__mux2_1 _4872_ (.A0(\mandelbrot.max_ctr[5] ), - .A1(net244), - .S(net32), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0099_)); - sky130_fd_sc_hd__mux2_1 _4873_ (.A0(\mandelbrot.max_ctr[6] ), - .A1(net173), - .S(net32), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0100_)); - sky130_fd_sc_hd__and2_1 _4874_ (.A(\vga.new_line ), - .B(\vga.line_ctr[0] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1748_)); - sky130_fd_sc_hd__and3_1 _4875_ (.A(\vga.line_ctr[2] ), - .B(\vga.line_ctr[1] ), - .C(_1748_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1749_)); - sky130_fd_sc_hd__and2_1 _4876_ (.A(\vga.line_ctr[3] ), - .B(_1749_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1750_)); - sky130_fd_sc_hd__and3_1 _4877_ (.A(\vga.line_ctr[4] ), - .B(\vga.line_ctr[3] ), - .C(_1749_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1751_)); - sky130_fd_sc_hd__and4b_1 _4878_ (.A_N(\vga.line_ctr[9] ), - .B(\vga.line_ctr[8] ), - .C(\vga.line_ctr[7] ), - .D(\vga.line_ctr[6] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1752_)); - sky130_fd_sc_hd__a31o_1 _4879_ (.A1(_1811_), - .A2(_1751_), - .A3(_1752_), - .B1(\vga.line_reset ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1753_)); - sky130_fd_sc_hd__or3_1 _4880_ (.A(\vga.line_ctr[8] ), - .B(\vga.line_ctr[6] ), - .C(\vga.line_ctr[5] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1754_)); - sky130_fd_sc_hd__and4b_1 _4881_ (.A_N(\vga.line_ctr[4] ), - .B(\vga.line_ctr[3] ), - .C(\vga.line_ctr[9] ), - .D(\vga.new_line ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1755_)); - sky130_fd_sc_hd__or3b_1 _4882_ (.A(\vga.line_ctr[7] ), - .B(_1754_), - .C_N(_1755_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1756_)); - sky130_fd_sc_hd__or4b_1 _4883_ (.A(\vga.line_ctr[1] ), - .B(\vga.line_ctr[0] ), - .C(_1756_), - .D_N(\vga.line_ctr[2] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1757_)); - sky130_fd_sc_hd__a21o_1 _4884_ (.A1(_1753_), - .A2(_1757_), - .B1(_1835_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0101_)); - sky130_fd_sc_hd__and4bb_1 _4885_ (.A_N(\vga.line_ctr[4] ), - .B_N(\vga.line_ctr[2] ), - .C(\vga.line_ctr[3] ), - .D(\vga.line_ctr[5] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1758_)); - sky130_fd_sc_hd__and3_1 _4886_ (.A(_1748_), - .B(_1752_), - .C(_1758_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1759_)); - sky130_fd_sc_hd__nand3_1 _4887_ (.A(net227), - .B(\vga.line_ctr[0] ), - .C(_1759_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1760_)); - sky130_fd_sc_hd__o211a_1 _4888_ (.A1(net252), - .A2(_1759_), - .B1(_1760_), - .C1(net155), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0102_)); - sky130_fd_sc_hd__and2_1 _4889_ (.A(net155), - .B(_1757_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1761_)); - sky130_fd_sc_hd__or2_1 _4890_ (.A(\vga.new_line ), - .B(\vga.line_ctr[0] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1762_)); - sky130_fd_sc_hd__and3b_1 _4891_ (.A_N(_1748_), - .B(_1761_), - .C(_1762_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0103_)); - sky130_fd_sc_hd__o21ai_1 _4892_ (.A1(\vga.line_ctr[1] ), - .A2(_1748_), - .B1(net155), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1763_)); - sky130_fd_sc_hd__a21oi_1 _4893_ (.A1(net227), - .A2(_1748_), - .B1(_1763_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_0104_)); - sky130_fd_sc_hd__a31o_1 _4894_ (.A1(\vga.new_line ), - .A2(\vga.line_ctr[1] ), - .A3(\vga.line_ctr[0] ), - .B1(\vga.line_ctr[2] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1764_)); - sky130_fd_sc_hd__and3b_1 _4895_ (.A_N(_1749_), - .B(_1761_), - .C(_1764_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0105_)); - sky130_fd_sc_hd__o21ai_1 _4896_ (.A1(net260), - .A2(_1749_), - .B1(_1761_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1765_)); - sky130_fd_sc_hd__nor2_1 _4897_ (.A(_1750_), - .B(_1765_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_0106_)); - sky130_fd_sc_hd__o21ai_1 _4898_ (.A1(net249), - .A2(_1750_), - .B1(net154), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1766_)); - sky130_fd_sc_hd__nor2_1 _4899_ (.A(_1751_), - .B(_1766_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_0107_)); - sky130_fd_sc_hd__and2_1 _4900_ (.A(\vga.line_ctr[5] ), - .B(_1751_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1767_)); - sky130_fd_sc_hd__o21ai_1 _4901_ (.A1(\vga.line_ctr[5] ), - .A2(_1751_), - .B1(net154), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1768_)); - sky130_fd_sc_hd__nor2_1 _4902_ (.A(_1767_), - .B(_1768_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_0108_)); - sky130_fd_sc_hd__and3_1 _4903_ (.A(\vga.line_ctr[6] ), - .B(\vga.line_ctr[5] ), - .C(_1751_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1769_)); - sky130_fd_sc_hd__o21ai_1 _4904_ (.A1(net243), - .A2(_1767_), - .B1(net154), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1770_)); - sky130_fd_sc_hd__nor2_1 _4905_ (.A(_1769_), - .B(_1770_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_0109_)); - sky130_fd_sc_hd__and2_1 _4906_ (.A(\vga.line_ctr[7] ), - .B(_1769_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1771_)); - sky130_fd_sc_hd__o21ai_1 _4907_ (.A1(net254), - .A2(_1769_), - .B1(net154), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1772_)); - sky130_fd_sc_hd__nor2_1 _4908_ (.A(_1771_), - .B(_1772_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_0110_)); - sky130_fd_sc_hd__and3_1 _4909_ (.A(\vga.line_ctr[8] ), - .B(\vga.line_ctr[7] ), - .C(_1769_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1773_)); - sky130_fd_sc_hd__o21ai_1 _4910_ (.A1(net246), - .A2(_1771_), - .B1(net154), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1774_)); - sky130_fd_sc_hd__nor2_1 _4911_ (.A(_1773_), - .B(_1774_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_0111_)); - sky130_fd_sc_hd__a21boi_1 _4912_ (.A1(net235), - .A2(_1773_), - .B1_N(_1761_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1775_)); - sky130_fd_sc_hd__o21a_1 _4913_ (.A1(net235), - .A2(_1773_), - .B1(_1775_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0112_)); - sky130_fd_sc_hd__or2_1 _4914_ (.A(\mandelbrot.alu.in_cr[0] ), - .B(_0821_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1776_)); - sky130_fd_sc_hd__a32o_1 _4915_ (.A1(net19), - .A2(_0822_), - .A3(_1776_), - .B1(net27), - .B2(net97), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0113_)); - sky130_fd_sc_hd__nand2_1 _4916_ (.A(_0822_), - .B(_0823_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1777_)); - sky130_fd_sc_hd__a32o_1 _4917_ (.A1(net19), - .A2(_0824_), - .A3(_1777_), - .B1(net27), - .B2(net93), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0114_)); - sky130_fd_sc_hd__xnor2_1 _4918_ (.A(_0818_), - .B(_0825_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1778_)); - sky130_fd_sc_hd__a22o_1 _4919_ (.A1(net89), - .A2(net27), - .B1(net19), - .B2(_1778_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0115_)); - sky130_fd_sc_hd__xnor2_1 _4920_ (.A(_0814_), - .B(_0826_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1779_)); - sky130_fd_sc_hd__a22o_1 _4921_ (.A1(net248), - .A2(net27), - .B1(net19), - .B2(_1779_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0116_)); - sky130_fd_sc_hd__xnor2_1 _4922_ (.A(_0810_), - .B(_0827_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1780_)); - sky130_fd_sc_hd__a22o_1 _4923_ (.A1(net79), - .A2(net27), - .B1(net19), - .B2(_1780_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0117_)); - sky130_fd_sc_hd__xnor2_1 _4924_ (.A(_0828_), - .B(_0830_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1781_)); - sky130_fd_sc_hd__a22o_1 _4925_ (.A1(\mandelbrot.alu.in_zr[5] ), - .A2(net27), - .B1(net19), - .B2(_1781_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0118_)); - sky130_fd_sc_hd__xnor2_1 _4926_ (.A(_0803_), - .B(_0831_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1782_)); - sky130_fd_sc_hd__a22o_1 _4927_ (.A1(net70), - .A2(net29), - .B1(net19), - .B2(_1782_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0119_)); - sky130_fd_sc_hd__xnor2_1 _4928_ (.A(_0832_), - .B(_0834_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1783_)); - sky130_fd_sc_hd__a22o_1 _4929_ (.A1(net65), - .A2(net27), - .B1(net19), - .B2(_1783_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0120_)); - sky130_fd_sc_hd__xnor2_1 _4930_ (.A(_0835_), - .B(_0836_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1784_)); - sky130_fd_sc_hd__a22o_1 _4931_ (.A1(net61), - .A2(net27), - .B1(net20), - .B2(_1784_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0121_)); - sky130_fd_sc_hd__xor2_1 _4932_ (.A(_0838_), - .B(_0839_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1785_)); - sky130_fd_sc_hd__a22o_1 _4933_ (.A1(net56), - .A2(net27), - .B1(net19), - .B2(_1785_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0122_)); - sky130_fd_sc_hd__a22o_1 _4934_ (.A1(net52), - .A2(net27), - .B1(net19), - .B2(_0853_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0123_)); - sky130_fd_sc_hd__nand2_1 _4935_ (.A(\vga.pixel_ctr[0] ), - .B(\vga.pixel_ctr[1] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1786_)); - sky130_fd_sc_hd__nand3_1 _4936_ (.A(\vga.pixel_ctr[0] ), - .B(\vga.pixel_ctr[1] ), - .C(\vga.pixel_ctr[2] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1787_)); - sky130_fd_sc_hd__and3_1 _4937_ (.A(\vga.pixel_ctr[0] ), - .B(\vga.pixel_ctr[1] ), - .C(_1836_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1788_)); - sky130_fd_sc_hd__and2_1 _4938_ (.A(\vga.pixel_ctr[4] ), - .B(_1788_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1789_)); - sky130_fd_sc_hd__nand2_1 _4939_ (.A(\vga.pixel_ctr[4] ), - .B(_1788_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1790_)); - sky130_fd_sc_hd__or4_1 _4940_ (.A(\vga.pixel_ctr[7] ), - .B(_1839_), - .C(_1840_), - .D(_1790_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1791_)); - sky130_fd_sc_hd__and3_1 _4941_ (.A(\vga.pixel_ctr[6] ), - .B(\vga.pixel_ctr[5] ), - .C(_1789_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1792_)); - sky130_fd_sc_hd__a41o_1 _4942_ (.A1(_1830_), - .A2(_1831_), - .A3(\vga.pixel_ctr[9] ), - .A4(_1792_), - .B1(\vga.row_reset ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1793_)); - sky130_fd_sc_hd__a21o_1 _4943_ (.A1(_1791_), - .A2(_1793_), - .B1(_1835_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0124_)); - sky130_fd_sc_hd__mux2_1 _4944_ (.A0(net172), - .A1(net6), - .S(\vga.l_read ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0125_)); - sky130_fd_sc_hd__mux2_1 _4945_ (.A0(net216), - .A1(net7), - .S(\vga.l_read ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0126_)); - sky130_fd_sc_hd__mux2_1 _4946_ (.A0(net219), - .A1(net8), - .S(\vga.l_read ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0127_)); - sky130_fd_sc_hd__mux2_1 _4947_ (.A0(net181), - .A1(net9), - .S(\vga.l_read ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0128_)); - sky130_fd_sc_hd__and4bb_1 _4948_ (.A_N(\vga.pixel_ctr[8] ), - .B_N(\vga.pixel_ctr[4] ), - .C(\vga.pixel_ctr[9] ), - .D(\vga.pixel_ctr[7] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1794_)); - sky130_fd_sc_hd__and4bb_1 _4949_ (.A_N(\vga.pixel_ctr[6] ), - .B_N(\vga.pixel_ctr[5] ), - .C(_1836_), - .D(_1794_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1795_)); - sky130_fd_sc_hd__a31o_1 _4950_ (.A1(\vga.pixel_ctr[0] ), - .A2(\vga.pixel_ctr[1] ), - .A3(_1795_), - .B1(\vga.h_sync ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1796_)); - sky130_fd_sc_hd__a41o_1 _4951_ (.A1(\vga.pixel_ctr[6] ), - .A2(\vga.pixel_ctr[5] ), - .A3(_1788_), - .A4(_1794_), - .B1(_1835_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1797_)); - sky130_fd_sc_hd__and2b_1 _4952_ (.A_N(_1797_), - .B(_1796_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0129_)); - sky130_fd_sc_hd__and2_1 _4953_ (.A(net154), - .B(_1791_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1798_)); - sky130_fd_sc_hd__nor2_1 _4954_ (.A(net233), - .B(_1835_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_0130_)); - sky130_fd_sc_hd__or2_1 _4955_ (.A(\vga.pixel_ctr[0] ), - .B(\vga.pixel_ctr[1] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1799_)); - sky130_fd_sc_hd__and3_1 _4956_ (.A(_1786_), - .B(_1798_), - .C(_1799_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0131_)); - sky130_fd_sc_hd__a21o_1 _4957_ (.A1(\vga.pixel_ctr[0] ), - .A2(\vga.pixel_ctr[1] ), - .B1(\vga.pixel_ctr[2] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1800_)); - sky130_fd_sc_hd__and3_1 _4958_ (.A(_1787_), - .B(_1798_), - .C(_1800_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0132_)); - sky130_fd_sc_hd__a31o_1 _4959_ (.A1(\vga.pixel_ctr[0] ), - .A2(\vga.pixel_ctr[1] ), - .A3(\vga.pixel_ctr[2] ), - .B1(net259), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1801_)); - sky130_fd_sc_hd__and3b_1 _4960_ (.A_N(_1788_), - .B(_1801_), - .C(net154), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0133_)); - sky130_fd_sc_hd__or2_1 _4961_ (.A(\vga.pixel_ctr[4] ), - .B(_1788_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1802_)); - sky130_fd_sc_hd__and3_1 _4962_ (.A(net154), - .B(_1790_), - .C(_1802_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0134_)); - sky130_fd_sc_hd__or2_1 _4963_ (.A(\vga.pixel_ctr[5] ), - .B(_1789_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1803_)); - sky130_fd_sc_hd__nand2_1 _4964_ (.A(\vga.pixel_ctr[5] ), - .B(_1789_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1804_)); - sky130_fd_sc_hd__and3_1 _4965_ (.A(_1798_), - .B(_1803_), - .C(_1804_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0135_)); - sky130_fd_sc_hd__or2_1 _4966_ (.A(\vga.pixel_ctr[6] ), - .B(_1789_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1805_)); - sky130_fd_sc_hd__and4b_1 _4967_ (.A_N(_1792_), - .B(net154), - .C(_1840_), - .D(_1805_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0136_)); - sky130_fd_sc_hd__o21ai_1 _4968_ (.A1(\vga.pixel_ctr[7] ), - .A2(_1792_), - .B1(_1798_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1806_)); - sky130_fd_sc_hd__a21oi_1 _4969_ (.A1(net231), - .A2(_1792_), - .B1(_1806_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_0137_)); - sky130_fd_sc_hd__a21o_1 _4970_ (.A1(\vga.pixel_ctr[7] ), - .A2(_1792_), - .B1(\vga.pixel_ctr[8] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1807_)); - sky130_fd_sc_hd__and3_1 _4971_ (.A(\vga.pixel_ctr[8] ), - .B(\vga.pixel_ctr[7] ), - .C(_1792_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1808_)); - sky130_fd_sc_hd__and3b_1 _4972_ (.A_N(_1808_), - .B(_1798_), - .C(_1807_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0138_)); - sky130_fd_sc_hd__o21ai_1 _4973_ (.A1(net225), - .A2(_1808_), - .B1(_1798_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_1809_)); - sky130_fd_sc_hd__a21oi_1 _4974_ (.A1(net225), - .A2(_1808_), - .B1(_1809_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_0139_)); - sky130_fd_sc_hd__and3b_1 _4975_ (.A_N(\vga.pixel_ctr[0] ), - .B(\vga.pixel_ctr[1] ), - .C(net154), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_1810_)); - sky130_fd_sc_hd__a22o_1 _4976_ (.A1(net253), - .A2(_1835_), - .B1(_1795_), - .B2(_1810_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0140_)); - sky130_fd_sc_hd__dfxtp_1 _4977_ (.CLK(clknet_4_9_0_clk), - .D(_0004_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.overflowed )); - sky130_fd_sc_hd__dfxtp_1 _4978_ (.CLK(clknet_4_12_0_clk), - .D(_0005_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.ctr[0] )); - sky130_fd_sc_hd__dfxtp_1 _4979_ (.CLK(clknet_4_12_0_clk), - .D(_0006_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.ctr[1] )); - sky130_fd_sc_hd__dfxtp_1 _4980_ (.CLK(clknet_4_13_0_clk), - .D(_0007_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.ctr[2] )); - sky130_fd_sc_hd__dfxtp_2 _4981_ (.CLK(clknet_4_13_0_clk), - .D(_0008_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.ctr[3] )); - sky130_fd_sc_hd__dfxtp_1 _4982_ (.CLK(clknet_4_13_0_clk), - .D(_0009_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.ctr[4] )); - sky130_fd_sc_hd__dfxtp_1 _4983_ (.CLK(clknet_4_13_0_clk), - .D(_0010_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.ctr[5] )); - sky130_fd_sc_hd__dfxtp_1 _4984_ (.CLK(clknet_4_13_0_clk), - .D(_0011_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.ctr[6] )); - sky130_fd_sc_hd__dfxtp_1 _4985_ (.CLK(clknet_4_2_0_clk), - .D(_0012_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.alu.in_zi[0] )); - sky130_fd_sc_hd__dfxtp_1 _4986_ (.CLK(clknet_4_2_0_clk), - .D(_0013_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.alu.in_zi[1] )); - sky130_fd_sc_hd__dfxtp_1 _4987_ (.CLK(clknet_4_2_0_clk), - .D(_0014_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.alu.in_zi[2] )); - sky130_fd_sc_hd__dfxtp_1 _4988_ (.CLK(clknet_4_2_0_clk), - .D(_0015_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.alu.in_zi[3] )); - sky130_fd_sc_hd__dfxtp_1 _4989_ (.CLK(clknet_4_2_0_clk), - .D(_0016_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.alu.in_zi[4] )); - sky130_fd_sc_hd__dfxtp_1 _4990_ (.CLK(clknet_4_2_0_clk), - .D(_0017_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.alu.in_zi[5] )); - sky130_fd_sc_hd__dfxtp_1 _4991_ (.CLK(clknet_4_2_0_clk), - .D(_0018_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.alu.in_zi[6] )); - sky130_fd_sc_hd__dfxtp_1 _4992_ (.CLK(clknet_4_2_0_clk), - .D(_0019_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.alu.in_zi[7] )); - sky130_fd_sc_hd__dfxtp_1 _4993_ (.CLK(clknet_4_0_0_clk), - .D(_0020_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.alu.in_zi[8] )); - sky130_fd_sc_hd__dfxtp_1 _4994_ (.CLK(clknet_4_2_0_clk), - .D(_0021_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.alu.in_zi[9] )); - sky130_fd_sc_hd__dfxtp_1 _4995_ (.CLK(clknet_4_2_0_clk), - .D(_0022_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.alu.in_zi[10] )); - sky130_fd_sc_hd__dfxtp_1 _4996_ (.CLK(clknet_4_6_0_clk), - .D(_0023_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.alu.in_ci[0] )); - sky130_fd_sc_hd__dfxtp_1 _4997_ (.CLK(clknet_4_6_0_clk), - .D(_0024_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.alu.in_ci[1] )); - sky130_fd_sc_hd__dfxtp_2 _4998_ (.CLK(clknet_4_6_0_clk), - .D(_0025_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.alu.in_ci[2] )); - sky130_fd_sc_hd__dfxtp_1 _4999_ (.CLK(clknet_4_3_0_clk), - .D(_0026_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.alu.in_ci[3] )); - sky130_fd_sc_hd__dfxtp_1 _5000_ (.CLK(clknet_4_1_0_clk), - .D(_0027_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.alu.in_ci[4] )); - sky130_fd_sc_hd__dfxtp_1 _5001_ (.CLK(clknet_4_1_0_clk), - .D(_0028_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.alu.in_ci[5] )); - sky130_fd_sc_hd__dfxtp_1 _5002_ (.CLK(clknet_4_3_0_clk), - .D(net264), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.alu.in_ci[6] )); - sky130_fd_sc_hd__dfxtp_1 _5003_ (.CLK(clknet_4_3_0_clk), - .D(_0030_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.alu.in_ci[7] )); - sky130_fd_sc_hd__dfxtp_1 _5004_ (.CLK(clknet_4_2_0_clk), - .D(_0031_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.alu.in_ci[8] )); - sky130_fd_sc_hd__dfxtp_1 _5005_ (.CLK(clknet_4_0_0_clk), - .D(_0032_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.alu.in_ci[9] )); - sky130_fd_sc_hd__dfxtp_2 _5006_ (.CLK(clknet_4_3_0_clk), - .D(_0033_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.alu.in_ci[10] )); - sky130_fd_sc_hd__dfxtp_1 _5007_ (.CLK(clknet_4_9_0_clk), - .D(_0034_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.alu.in_cr[0] )); - sky130_fd_sc_hd__dfxtp_1 _5008_ (.CLK(clknet_4_8_0_clk), - .D(_0035_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.alu.in_cr[1] )); - sky130_fd_sc_hd__dfxtp_1 _5009_ (.CLK(clknet_4_8_0_clk), - .D(_0036_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.alu.in_cr[2] )); - sky130_fd_sc_hd__dfxtp_1 _5010_ (.CLK(clknet_4_10_0_clk), - .D(net179), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.alu.in_cr[3] )); - sky130_fd_sc_hd__dfxtp_1 _5011_ (.CLK(clknet_4_10_0_clk), - .D(_0038_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.alu.in_cr[4] )); - sky130_fd_sc_hd__dfxtp_1 _5012_ (.CLK(clknet_4_10_0_clk), - .D(net195), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.alu.in_cr[5] )); - sky130_fd_sc_hd__dfxtp_1 _5013_ (.CLK(clknet_4_11_0_clk), - .D(_0040_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.alu.in_cr[6] )); - sky130_fd_sc_hd__dfxtp_1 _5014_ (.CLK(clknet_4_11_0_clk), - .D(_0041_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.alu.in_cr[7] )); - sky130_fd_sc_hd__dfxtp_1 _5015_ (.CLK(clknet_4_11_0_clk), - .D(_0042_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.alu.in_cr[8] )); - sky130_fd_sc_hd__dfxtp_1 _5016_ (.CLK(clknet_4_9_0_clk), - .D(_0043_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.alu.in_cr[9] )); - sky130_fd_sc_hd__dfxtp_2 _5017_ (.CLK(clknet_4_11_0_clk), - .D(_0044_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.alu.in_cr[10] )); - sky130_fd_sc_hd__dfxtp_4 _5018_ (.CLK(clknet_4_13_0_clk), - .D(_0045_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(uio_out[0])); - sky130_fd_sc_hd__dfxtp_4 _5019_ (.CLK(clknet_4_13_0_clk), - .D(_0046_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(uio_out[1])); - sky130_fd_sc_hd__dfxtp_4 _5020_ (.CLK(clknet_4_7_0_clk), - .D(_0047_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(uio_out[2])); - sky130_fd_sc_hd__dfxtp_4 _5021_ (.CLK(clknet_4_6_0_clk), - .D(_0048_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(uio_out[3])); - sky130_fd_sc_hd__dfxtp_2 _5022_ (.CLK(clknet_4_15_0_clk), - .D(_0049_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.finished )); - sky130_fd_sc_hd__dfxtp_1 _5023_ (.CLK(clknet_4_14_0_clk), - .D(_0050_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.x[0] )); - sky130_fd_sc_hd__dfxtp_1 _5024_ (.CLK(clknet_4_14_0_clk), - .D(_0051_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.x[1] )); - sky130_fd_sc_hd__dfxtp_1 _5025_ (.CLK(clknet_4_14_0_clk), - .D(_0052_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.x[2] )); - sky130_fd_sc_hd__dfxtp_1 _5026_ (.CLK(clknet_4_14_0_clk), - .D(_0053_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.x[3] )); - sky130_fd_sc_hd__dfxtp_1 _5027_ (.CLK(clknet_4_15_0_clk), - .D(_0054_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.x[4] )); - sky130_fd_sc_hd__dfxtp_1 _5028_ (.CLK(clknet_4_15_0_clk), - .D(_0055_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.x[5] )); - sky130_fd_sc_hd__dfxtp_1 _5029_ (.CLK(clknet_4_14_0_clk), - .D(_0056_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.x[6] )); - sky130_fd_sc_hd__dfxtp_1 _5030_ (.CLK(clknet_4_14_0_clk), - .D(_0057_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.x[7] )); - sky130_fd_sc_hd__dfxtp_1 _5031_ (.CLK(clknet_4_14_0_clk), - .D(_0058_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.x[8] )); - sky130_fd_sc_hd__dfxtp_1 _5032_ (.CLK(clknet_4_14_0_clk), - .D(_0059_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.y[0] )); - sky130_fd_sc_hd__dfxtp_1 _5033_ (.CLK(clknet_4_13_0_clk), - .D(net237), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.y[1] )); - sky130_fd_sc_hd__dfxtp_1 _5034_ (.CLK(clknet_4_12_0_clk), - .D(_0061_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.y[2] )); - sky130_fd_sc_hd__dfxtp_1 _5035_ (.CLK(clknet_4_15_0_clk), - .D(_0062_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.y[3] )); - sky130_fd_sc_hd__dfxtp_1 _5036_ (.CLK(clknet_4_15_0_clk), - .D(_0063_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.y[4] )); - sky130_fd_sc_hd__dfxtp_1 _5037_ (.CLK(clknet_4_14_0_clk), - .D(_0064_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.y[5] )); - sky130_fd_sc_hd__dfxtp_1 _5038_ (.CLK(clknet_4_14_0_clk), - .D(_0065_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.y[6] )); - sky130_fd_sc_hd__dfxtp_1 _5039_ (.CLK(clknet_4_14_0_clk), - .D(_0066_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.y[7] )); - sky130_fd_sc_hd__dfxtp_1 _5040_ (.CLK(clknet_4_15_0_clk), - .D(_0067_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.stopped )); - sky130_fd_sc_hd__dfxtp_1 _5041_ (.CLK(clknet_4_9_0_clk), - .D(net176), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.cr_offset[0] )); - sky130_fd_sc_hd__dfxtp_1 _5042_ (.CLK(clknet_4_8_0_clk), - .D(net203), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.cr_offset[1] )); - sky130_fd_sc_hd__dfxtp_1 _5043_ (.CLK(clknet_4_8_0_clk), - .D(_0070_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.cr_offset[2] )); - sky130_fd_sc_hd__dfxtp_1 _5044_ (.CLK(clknet_4_8_0_clk), - .D(_0071_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.cr_offset[3] )); - sky130_fd_sc_hd__dfxtp_1 _5045_ (.CLK(clknet_4_9_0_clk), - .D(_0072_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.cr_offset[4] )); - sky130_fd_sc_hd__dfxtp_1 _5046_ (.CLK(clknet_4_9_0_clk), - .D(_0073_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.cr_offset[5] )); - sky130_fd_sc_hd__dfxtp_1 _5047_ (.CLK(clknet_4_11_0_clk), - .D(_0074_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.cr_offset[6] )); - sky130_fd_sc_hd__dfxtp_1 _5048_ (.CLK(clknet_4_11_0_clk), - .D(_0075_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.cr_offset[7] )); - sky130_fd_sc_hd__dfxtp_1 _5049_ (.CLK(clknet_4_9_0_clk), - .D(_0076_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.cr_offset[8] )); - sky130_fd_sc_hd__dfxtp_1 _5050_ (.CLK(clknet_4_11_0_clk), - .D(net193), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.cr_offset[9] )); - sky130_fd_sc_hd__dfxtp_1 _5051_ (.CLK(clknet_4_6_0_clk), - .D(_0078_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.cr_offset[10] )); - sky130_fd_sc_hd__dfxtp_1 _5052_ (.CLK(clknet_4_6_0_clk), - .D(net190), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.ci_offset[0] )); - sky130_fd_sc_hd__dfxtp_1 _5053_ (.CLK(clknet_4_6_0_clk), - .D(net205), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.ci_offset[1] )); - sky130_fd_sc_hd__dfxtp_1 _5054_ (.CLK(clknet_4_1_0_clk), - .D(_0081_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.ci_offset[2] )); - sky130_fd_sc_hd__dfxtp_1 _5055_ (.CLK(clknet_4_3_0_clk), - .D(net198), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.ci_offset[3] )); - sky130_fd_sc_hd__dfxtp_1 _5056_ (.CLK(clknet_4_1_0_clk), - .D(_0083_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.ci_offset[4] )); - sky130_fd_sc_hd__dfxtp_1 _5057_ (.CLK(clknet_4_1_0_clk), - .D(_0084_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.ci_offset[5] )); - sky130_fd_sc_hd__dfxtp_1 _5058_ (.CLK(clknet_4_0_0_clk), - .D(net185), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.ci_offset[6] )); - sky130_fd_sc_hd__dfxtp_1 _5059_ (.CLK(clknet_4_0_0_clk), - .D(_0086_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.ci_offset[7] )); - sky130_fd_sc_hd__dfxtp_1 _5060_ (.CLK(clknet_4_0_0_clk), - .D(_0087_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.ci_offset[8] )); - sky130_fd_sc_hd__dfxtp_1 _5061_ (.CLK(clknet_4_0_0_clk), - .D(net188), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.ci_offset[9] )); - sky130_fd_sc_hd__dfxtp_1 _5062_ (.CLK(clknet_4_0_0_clk), - .D(_0089_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.ci_offset[10] )); - sky130_fd_sc_hd__dfxtp_2 _5063_ (.CLK(clknet_4_9_0_clk), - .D(_0090_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.scaling[0] )); - sky130_fd_sc_hd__dfxtp_2 _5064_ (.CLK(clknet_4_12_0_clk), - .D(_0091_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.scaling[1] )); - sky130_fd_sc_hd__dfxtp_1 _5065_ (.CLK(clknet_4_12_0_clk), - .D(_0092_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.ctr_select[0] )); - sky130_fd_sc_hd__dfxtp_1 _5066_ (.CLK(clknet_4_12_0_clk), - .D(_0093_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.ctr_select[1] )); - sky130_fd_sc_hd__dfxtp_1 _5067_ (.CLK(clknet_4_12_0_clk), - .D(_0094_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.max_ctr[0] )); - sky130_fd_sc_hd__dfxtp_1 _5068_ (.CLK(clknet_4_12_0_clk), - .D(_0095_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.max_ctr[1] )); - sky130_fd_sc_hd__dfxtp_1 _5069_ (.CLK(clknet_4_12_0_clk), - .D(net222), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.max_ctr[2] )); - sky130_fd_sc_hd__dfxtp_1 _5070_ (.CLK(clknet_4_13_0_clk), - .D(net230), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.max_ctr[3] )); - sky130_fd_sc_hd__dfxtp_1 _5071_ (.CLK(clknet_4_15_0_clk), - .D(net241), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.max_ctr[4] )); - sky130_fd_sc_hd__dfxtp_1 _5072_ (.CLK(clknet_4_15_0_clk), - .D(net245), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.max_ctr[5] )); - sky130_fd_sc_hd__dfxtp_1 _5073_ (.CLK(clknet_4_14_0_clk), - .D(net174), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.max_ctr[6] )); - sky130_fd_sc_hd__dfxtp_1 _5074_ (.CLK(clknet_4_5_0_clk), - .D(_0101_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\vga.line_reset )); - sky130_fd_sc_hd__dfxtp_1 _5075_ (.CLK(clknet_4_5_0_clk), - .D(_0102_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\vga.reset_read_ptr )); - sky130_fd_sc_hd__dfxtp_1 _5076_ (.CLK(clknet_4_5_0_clk), - .D(_0103_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\vga.line_ctr[0] )); - sky130_fd_sc_hd__dfxtp_1 _5077_ (.CLK(clknet_4_7_0_clk), - .D(net228), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\vga.line_ctr[1] )); - sky130_fd_sc_hd__dfxtp_1 _5078_ (.CLK(clknet_4_3_0_clk), - .D(_0105_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\vga.line_ctr[2] )); - sky130_fd_sc_hd__dfxtp_1 _5079_ (.CLK(clknet_4_5_0_clk), - .D(_0106_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\vga.line_ctr[3] )); - sky130_fd_sc_hd__dfxtp_1 _5080_ (.CLK(clknet_4_4_0_clk), - .D(_0107_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\vga.line_ctr[4] )); - sky130_fd_sc_hd__dfxtp_1 _5081_ (.CLK(clknet_4_5_0_clk), - .D(_0108_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\vga.line_ctr[5] )); - sky130_fd_sc_hd__dfxtp_1 _5082_ (.CLK(clknet_4_5_0_clk), - .D(_0109_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\vga.line_ctr[6] )); - sky130_fd_sc_hd__dfxtp_1 _5083_ (.CLK(clknet_4_4_0_clk), - .D(_0110_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\vga.line_ctr[7] )); - sky130_fd_sc_hd__dfxtp_1 _5084_ (.CLK(clknet_4_5_0_clk), - .D(_0111_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\vga.line_ctr[8] )); - sky130_fd_sc_hd__dfxtp_1 _5085_ (.CLK(clknet_4_4_0_clk), - .D(_0112_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\vga.line_ctr[9] )); - sky130_fd_sc_hd__dfxtp_1 _5086_ (.CLK(clknet_4_5_0_clk), - .D(\vga.read ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\vga.l_read )); - sky130_fd_sc_hd__dfxtp_1 _5087_ (.CLK(clknet_4_7_0_clk), - .D(\vga.write_data ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\vga.wrote_data )); - sky130_fd_sc_hd__dfxtp_1 _5088_ (.CLK(clknet_4_10_0_clk), - .D(_0113_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.alu.in_zr[0] )); - sky130_fd_sc_hd__dfxtp_1 _5089_ (.CLK(clknet_4_8_0_clk), - .D(_0114_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.alu.in_zr[1] )); - sky130_fd_sc_hd__dfxtp_1 _5090_ (.CLK(clknet_4_10_0_clk), - .D(_0115_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.alu.in_zr[2] )); - sky130_fd_sc_hd__dfxtp_1 _5091_ (.CLK(clknet_4_10_0_clk), - .D(_0116_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.alu.in_zr[3] )); - sky130_fd_sc_hd__dfxtp_1 _5092_ (.CLK(clknet_4_10_0_clk), - .D(_0117_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.alu.in_zr[4] )); - sky130_fd_sc_hd__dfxtp_1 _5093_ (.CLK(clknet_4_10_0_clk), - .D(_0118_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.alu.in_zr[5] )); - sky130_fd_sc_hd__dfxtp_1 _5094_ (.CLK(clknet_4_10_0_clk), - .D(_0119_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.alu.in_zr[6] )); - sky130_fd_sc_hd__dfxtp_1 _5095_ (.CLK(clknet_4_10_0_clk), - .D(_0120_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.alu.in_zr[7] )); - sky130_fd_sc_hd__dfxtp_1 _5096_ (.CLK(clknet_4_11_0_clk), - .D(_0121_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.alu.in_zr[8] )); - sky130_fd_sc_hd__dfxtp_2 _5097_ (.CLK(clknet_4_10_0_clk), - .D(_0122_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.alu.in_zr[9] )); - sky130_fd_sc_hd__dfxtp_1 _5098_ (.CLK(clknet_4_10_0_clk), - .D(_0123_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.alu.in_zr[10] )); - sky130_fd_sc_hd__dfxtp_1 _5099_ (.CLK(clknet_4_5_0_clk), - .D(_0124_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\vga.row_reset )); - sky130_fd_sc_hd__dfxtp_1 _5100_ (.CLK(clknet_4_7_0_clk), - .D(_0125_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\vga.pixel_buffer[0] )); - sky130_fd_sc_hd__dfxtp_1 _5101_ (.CLK(clknet_4_7_0_clk), - .D(_0126_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\vga.pixel_buffer[1] )); - sky130_fd_sc_hd__dfxtp_1 _5102_ (.CLK(clknet_4_13_0_clk), - .D(_0127_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\vga.pixel_buffer[2] )); - sky130_fd_sc_hd__dfxtp_1 _5103_ (.CLK(clknet_4_13_0_clk), - .D(_0128_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\vga.pixel_buffer[3] )); - sky130_fd_sc_hd__dfxtp_1 _5104_ (.CLK(clknet_4_5_0_clk), - .D(_0129_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\vga.h_sync )); - sky130_fd_sc_hd__dfxtp_2 _5105_ (.CLK(clknet_4_5_0_clk), - .D(_0130_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\vga.pixel_ctr[0] )); - sky130_fd_sc_hd__dfxtp_1 _5106_ (.CLK(clknet_4_4_0_clk), - .D(_0131_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\vga.pixel_ctr[1] )); - sky130_fd_sc_hd__dfxtp_1 _5107_ (.CLK(clknet_4_4_0_clk), - .D(_0132_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\vga.pixel_ctr[2] )); - sky130_fd_sc_hd__dfxtp_1 _5108_ (.CLK(clknet_4_4_0_clk), - .D(_0133_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\vga.pixel_ctr[3] )); - sky130_fd_sc_hd__dfxtp_1 _5109_ (.CLK(clknet_4_4_0_clk), - .D(_0134_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\vga.pixel_ctr[4] )); - sky130_fd_sc_hd__dfxtp_1 _5110_ (.CLK(clknet_4_4_0_clk), - .D(_0135_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\vga.pixel_ctr[5] )); - sky130_fd_sc_hd__dfxtp_1 _5111_ (.CLK(clknet_4_4_0_clk), - .D(_0136_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\vga.pixel_ctr[6] )); - sky130_fd_sc_hd__dfxtp_2 _5112_ (.CLK(clknet_4_4_0_clk), - .D(_0137_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\vga.pixel_ctr[7] )); - sky130_fd_sc_hd__dfxtp_1 _5113_ (.CLK(clknet_4_5_0_clk), - .D(_0138_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\vga.pixel_ctr[8] )); - sky130_fd_sc_hd__dfxtp_1 _5114_ (.CLK(clknet_4_5_0_clk), - .D(_0139_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\vga.pixel_ctr[9] )); - sky130_fd_sc_hd__dfxtp_1 _5115_ (.CLK(clknet_4_7_0_clk), - .D(_0001_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\state[0] )); - sky130_fd_sc_hd__dfxtp_1 _5116_ (.CLK(clknet_4_7_0_clk), - .D(_0002_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\state[1] )); - sky130_fd_sc_hd__dfxtp_1 _5117_ (.CLK(clknet_4_7_0_clk), - .D(_0000_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\state[2] )); - sky130_fd_sc_hd__dfxtp_1 _5118_ (.CLK(clknet_4_6_0_clk), - .D(_0003_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\state[3] )); - sky130_fd_sc_hd__dfxtp_1 _5119_ (.CLK(clknet_4_5_0_clk), - .D(_0140_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\vga.new_line )); - sky130_fd_sc_hd__dfxtp_1 _5120_ (.CLK(clknet_4_7_0_clk), - .D(_0141_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\vga.reset_write_ptr )); - sky130_fd_sc_hd__dfxtp_1 _5121_ (.CLK(clknet_4_7_0_clk), - .D(net47), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(l_running)); - sky130_fd_sc_hd__dfxtp_1 _5122_ (.CLK(clknet_4_7_0_clk), - .D(net211), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\mandelbrot.run )); - sky130_fd_sc_hd__dfxtp_1 _5123_ (.CLK(clknet_4_15_0_clk), - .D(net3), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\l_sdata[0] )); - sky130_fd_sc_hd__dfxtp_1 _5124_ (.CLK(clknet_4_15_0_clk), - .D(net167), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\l_sdata[1] )); - sky130_fd_sc_hd__dfxtp_1 _5125_ (.CLK(clknet_4_15_0_clk), - .D(net165), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\l_sdata[2] )); - sky130_fd_sc_hd__dfxtp_1 _5126_ (.CLK(clknet_4_15_0_clk), - .D(net4), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\l_sclk[0] )); - sky130_fd_sc_hd__dfxtp_1 _5127_ (.CLK(clknet_4_15_0_clk), - .D(net168), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\l_sclk[1] )); - sky130_fd_sc_hd__dfxtp_1 _5128_ (.CLK(clknet_4_15_0_clk), - .D(net169), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\l_sclk[2] )); - sky130_fd_sc_hd__dfxtp_1 _5129_ (.CLK(clknet_4_15_0_clk), - .D(net2), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\l_sen[0] )); - sky130_fd_sc_hd__dfxtp_1 _5130_ (.CLK(clknet_4_15_0_clk), - .D(net166), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\l_sen[1] )); - sky130_fd_sc_hd__dfxtp_1 _5131_ (.CLK(clknet_4_15_0_clk), - .D(net170), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\l_sen[2] )); - sky130_fd_sc_hd__buf_2 _5132_ (.A(net157), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(uio_oe[0])); - sky130_fd_sc_hd__buf_2 _5133_ (.A(net158), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(uio_oe[1])); - sky130_fd_sc_hd__buf_2 _5134_ (.A(net159), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(uio_oe[2])); - sky130_fd_sc_hd__buf_2 _5135_ (.A(net160), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(uio_oe[3])); - sky130_fd_sc_hd__buf_2 _5136_ (.A(net161), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(uio_oe[4])); - sky130_fd_sc_hd__buf_2 _5137_ (.A(net162), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(uio_oe[5])); - sky130_fd_sc_hd__buf_2 _5138_ (.A(net163), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(uio_oe[6])); - sky130_fd_sc_hd__buf_2 _5139_ (.A(net164), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(uio_oe[7])); - sky130_fd_sc_hd__buf_2 _5140_ (.A(\vga.write_data ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(uio_out[4])); - sky130_fd_sc_hd__buf_2 _5141_ (.A(\vga.reset_write_ptr ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(uio_out[5])); - sky130_fd_sc_hd__buf_2 _5142_ (.A(\vga.reset_read_ptr ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(uio_out[6])); - sky130_fd_sc_hd__buf_2 _5143_ (.A(\vga.read ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(uio_out[7])); - sky130_fd_sc_hd__clkbuf_16 clkbuf_0_clk (.A(clk), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(clknet_0_clk)); - sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_0_Right_0 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_1_Right_1 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_2_Right_2 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_3_Right_3 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_4_Right_4 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_5_Right_5 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_6_Right_6 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_7_Right_7 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_8_Right_8 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_9_Right_9 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_10_Right_10 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_11_Right_11 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_12_Right_12 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_13_Right_13 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_14_Right_14 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_15_Right_15 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_16_Right_16 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_17_Right_17 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_18_Right_18 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_19_Right_19 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_20_Right_20 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_21_Right_21 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_22_Right_22 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_22_Right_22 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); @@ -24580,7 +19932,7 @@ module tt_um_gfg_development_tinymandelbrot (clk, .VPWR(VPWR)); sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_80_617 (.VGND(VGND), .VPWR(VPWR)); - sky130_fd_sc_hd__clkbuf_1 input1 (.A(rst_n), + sky130_fd_sc_hd__buf_1 input1 (.A(rst_n), .VGND(VGND), .VNB(VGND), .VPB(VPWR), @@ -24604,7 +19956,7 @@ module tt_um_gfg_development_tinymandelbrot (clk, .VPB(VPWR), .VPWR(VPWR), .X(net4)); - sky130_fd_sc_hd__clkbuf_4 input5 (.A(ui_in[3]), + sky130_fd_sc_hd__buf_2 input5 (.A(ui_in[3]), .VGND(VGND), .VNB(VGND), .VPB(VPWR), @@ -24634,229 +19986,229 @@ module tt_um_gfg_development_tinymandelbrot (clk, .VPB(VPWR), .VPWR(VPWR), .X(net9)); - sky130_fd_sc_hd__clkbuf_2 fanout10 (.A(_1675_), + sky130_fd_sc_hd__clkbuf_2 fanout10 (.A(_1095_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net10)); - sky130_fd_sc_hd__clkbuf_2 fanout11 (.A(_1675_), + sky130_fd_sc_hd__buf_2 fanout11 (.A(_0929_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net11)); - sky130_fd_sc_hd__clkbuf_4 fanout12 (.A(_1635_), + sky130_fd_sc_hd__buf_2 fanout12 (.A(_0537_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net12)); - sky130_fd_sc_hd__buf_2 fanout13 (.A(net14), + sky130_fd_sc_hd__clkbuf_2 fanout13 (.A(_0537_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net13)); - sky130_fd_sc_hd__dlymetal6s2s_1 fanout14 (.A(_1635_), + sky130_fd_sc_hd__clkbuf_4 fanout14 (.A(_0537_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net14)); - sky130_fd_sc_hd__buf_2 fanout15 (.A(net17), + sky130_fd_sc_hd__buf_2 fanout15 (.A(net16), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net15)); - sky130_fd_sc_hd__clkbuf_2 fanout16 (.A(net17), + sky130_fd_sc_hd__buf_2 fanout16 (.A(_0531_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net16)); - sky130_fd_sc_hd__buf_2 fanout17 (.A(_1602_), + sky130_fd_sc_hd__clkbuf_4 fanout17 (.A(_0531_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net17)); - sky130_fd_sc_hd__buf_2 fanout18 (.A(net21), + sky130_fd_sc_hd__buf_2 fanout18 (.A(net19), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net18)); - sky130_fd_sc_hd__buf_2 fanout19 (.A(net20), + sky130_fd_sc_hd__buf_2 fanout19 (.A(_1687_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net19)); - sky130_fd_sc_hd__clkbuf_4 fanout20 (.A(_0758_), + sky130_fd_sc_hd__buf_2 fanout20 (.A(net21), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net20)); - sky130_fd_sc_hd__buf_1 wire21 (.A(_0758_), + sky130_fd_sc_hd__clkbuf_2 fanout21 (.A(_1687_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net21)); - sky130_fd_sc_hd__buf_1 max_cap22 (.A(_0757_), + sky130_fd_sc_hd__buf_2 fanout22 (.A(net23), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net22)); - sky130_fd_sc_hd__clkbuf_1 max_cap23 (.A(_1465_), + sky130_fd_sc_hd__clkbuf_2 fanout23 (.A(_1094_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net23)); - sky130_fd_sc_hd__clkbuf_2 fanout24 (.A(net25), + sky130_fd_sc_hd__buf_2 fanout24 (.A(net25), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net24)); - sky130_fd_sc_hd__buf_2 fanout25 (.A(_0856_), + sky130_fd_sc_hd__buf_2 fanout25 (.A(_1094_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net25)); - sky130_fd_sc_hd__buf_2 fanout26 (.A(net29), + sky130_fd_sc_hd__buf_2 fanout26 (.A(_1001_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net26)); - sky130_fd_sc_hd__buf_2 fanout27 (.A(net29), + sky130_fd_sc_hd__clkbuf_4 fanout27 (.A(_0928_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net27)); - sky130_fd_sc_hd__buf_2 fanout28 (.A(net29), + sky130_fd_sc_hd__clkbuf_4 fanout28 (.A(net31), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net28)); - sky130_fd_sc_hd__clkbuf_4 fanout29 (.A(_1845_), + sky130_fd_sc_hd__buf_2 fanout29 (.A(net31), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net29)); - sky130_fd_sc_hd__clkbuf_4 fanout30 (.A(_1747_), + sky130_fd_sc_hd__clkbuf_2 fanout30 (.A(net31), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net30)); - sky130_fd_sc_hd__clkbuf_4 fanout31 (.A(net32), + sky130_fd_sc_hd__clkbuf_2 fanout31 (.A(_0928_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net31)); - sky130_fd_sc_hd__buf_2 fanout32 (.A(net33), + sky130_fd_sc_hd__buf_2 fanout32 (.A(_0927_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net32)); - sky130_fd_sc_hd__buf_2 fanout33 (.A(_1747_), + sky130_fd_sc_hd__buf_2 fanout33 (.A(_0926_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net33)); - sky130_fd_sc_hd__buf_2 fanout34 (.A(net35), + sky130_fd_sc_hd__clkbuf_2 fanout34 (.A(net36), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net34)); - sky130_fd_sc_hd__clkbuf_4 fanout35 (.A(_2430_), + sky130_fd_sc_hd__buf_1 fanout35 (.A(net36), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net35)); - sky130_fd_sc_hd__clkbuf_4 fanout36 (.A(_2414_), + sky130_fd_sc_hd__clkbuf_2 fanout36 (.A(_1629_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net36)); - sky130_fd_sc_hd__buf_2 fanout37 (.A(net38), + sky130_fd_sc_hd__clkbuf_2 fanout37 (.A(net39), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net37)); - sky130_fd_sc_hd__clkbuf_4 fanout38 (.A(_2412_), + sky130_fd_sc_hd__buf_2 fanout38 (.A(net39), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net38)); - sky130_fd_sc_hd__buf_2 fanout39 (.A(_2195_), + sky130_fd_sc_hd__dlymetal6s2s_1 fanout39 (.A(_1629_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net39)); - sky130_fd_sc_hd__clkbuf_2 fanout40 (.A(_1849_), + sky130_fd_sc_hd__buf_4 fanout40 (.A(_1628_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net40)); - sky130_fd_sc_hd__buf_2 fanout41 (.A(_1849_), + sky130_fd_sc_hd__buf_2 fanout41 (.A(net42), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net41)); - sky130_fd_sc_hd__buf_2 fanout42 (.A(net43), + sky130_fd_sc_hd__clkbuf_4 fanout42 (.A(net45), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net42)); - sky130_fd_sc_hd__clkbuf_4 fanout43 (.A(_1822_), + sky130_fd_sc_hd__buf_2 fanout43 (.A(net45), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net43)); - sky130_fd_sc_hd__buf_2 fanout44 (.A(net45), + sky130_fd_sc_hd__clkbuf_2 fanout44 (.A(net45), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net44)); - sky130_fd_sc_hd__dlymetal6s2s_1 fanout45 (.A(_1813_), + sky130_fd_sc_hd__buf_2 fanout45 (.A(_0925_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net45)); - sky130_fd_sc_hd__clkbuf_4 fanout46 (.A(\mandelbrot.running ), + sky130_fd_sc_hd__clkbuf_4 fanout46 (.A(net49), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net46)); - sky130_fd_sc_hd__clkbuf_2 fanout47 (.A(\mandelbrot.running ), + sky130_fd_sc_hd__clkbuf_4 fanout47 (.A(net48), .VGND(VGND), .VNB(VGND), .VPB(VPWR), @@ -24868,67 +20220,67 @@ module tt_um_gfg_development_tinymandelbrot (clk, .VPB(VPWR), .VPWR(VPWR), .X(net48)); - sky130_fd_sc_hd__buf_2 fanout49 (.A(net50), + sky130_fd_sc_hd__buf_2 fanout49 (.A(net64), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net49)); - sky130_fd_sc_hd__buf_1 fanout50 (.A(net52), + sky130_fd_sc_hd__clkbuf_4 fanout50 (.A(net52), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net50)); - sky130_fd_sc_hd__clkbuf_2 fanout51 (.A(net52), + sky130_fd_sc_hd__clkbuf_4 fanout51 (.A(net54), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net51)); - sky130_fd_sc_hd__clkbuf_4 fanout52 (.A(\mandelbrot.alu.in_zr[10] ), + sky130_fd_sc_hd__clkbuf_2 fanout52 (.A(net54), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net52)); - sky130_fd_sc_hd__buf_2 fanout53 (.A(net54), + sky130_fd_sc_hd__clkbuf_4 fanout53 (.A(net54), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net53)); - sky130_fd_sc_hd__clkbuf_2 fanout54 (.A(net55), + sky130_fd_sc_hd__clkbuf_2 fanout54 (.A(net64), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net54)); - sky130_fd_sc_hd__buf_2 fanout55 (.A(net56), + sky130_fd_sc_hd__clkbuf_4 fanout55 (.A(net56), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net55)); - sky130_fd_sc_hd__clkbuf_4 fanout56 (.A(\mandelbrot.alu.in_zr[9] ), + sky130_fd_sc_hd__clkbuf_4 fanout56 (.A(net64), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net56)); - sky130_fd_sc_hd__buf_2 fanout57 (.A(net58), + sky130_fd_sc_hd__clkbuf_4 fanout57 (.A(net61), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net57)); - sky130_fd_sc_hd__buf_2 fanout58 (.A(net59), + sky130_fd_sc_hd__clkbuf_2 fanout58 (.A(net61), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net58)); - sky130_fd_sc_hd__clkbuf_2 fanout59 (.A(net60), + sky130_fd_sc_hd__buf_2 fanout59 (.A(net61), .VGND(VGND), .VNB(VGND), .VPB(VPWR), @@ -24940,7 +20292,7 @@ module tt_um_gfg_development_tinymandelbrot (clk, .VPB(VPWR), .VPWR(VPWR), .X(net60)); - sky130_fd_sc_hd__clkbuf_4 fanout61 (.A(\mandelbrot.alu.in_zr[8] ), + sky130_fd_sc_hd__clkbuf_2 fanout61 (.A(net64), .VGND(VGND), .VNB(VGND), .VPB(VPWR), @@ -24952,169 +20304,169 @@ module tt_um_gfg_development_tinymandelbrot (clk, .VPB(VPWR), .VPWR(VPWR), .X(net62)); - sky130_fd_sc_hd__buf_2 fanout63 (.A(net64), + sky130_fd_sc_hd__clkbuf_4 fanout63 (.A(net64), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net63)); - sky130_fd_sc_hd__buf_2 fanout64 (.A(net65), + sky130_fd_sc_hd__clkbuf_4 fanout64 (.A(\mandelbrot.alu.mult_zi_zi.rst_n ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net64)); - sky130_fd_sc_hd__buf_2 fanout65 (.A(\mandelbrot.alu.in_zr[7] ), + sky130_fd_sc_hd__buf_2 fanout65 (.A(net67), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net65)); - sky130_fd_sc_hd__clkbuf_4 fanout66 (.A(net68), + sky130_fd_sc_hd__clkbuf_4 fanout66 (.A(_1220_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net66)); - sky130_fd_sc_hd__clkbuf_2 fanout67 (.A(net68), + sky130_fd_sc_hd__clkbuf_2 fanout67 (.A(_1220_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net67)); - sky130_fd_sc_hd__dlymetal6s2s_1 fanout68 (.A(net70), + sky130_fd_sc_hd__buf_2 fanout68 (.A(_1218_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net68)); - sky130_fd_sc_hd__buf_2 fanout69 (.A(net70), + sky130_fd_sc_hd__clkbuf_2 fanout69 (.A(_1218_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net69)); - sky130_fd_sc_hd__clkbuf_4 fanout70 (.A(\mandelbrot.alu.in_zr[6] ), + sky130_fd_sc_hd__clkbuf_4 fanout70 (.A(net71), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net70)); - sky130_fd_sc_hd__clkbuf_4 fanout71 (.A(net72), + sky130_fd_sc_hd__buf_2 fanout71 (.A(_0755_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net71)); - sky130_fd_sc_hd__clkbuf_2 fanout72 (.A(net73), + sky130_fd_sc_hd__buf_2 fanout72 (.A(net73), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net72)); - sky130_fd_sc_hd__clkbuf_2 fanout73 (.A(net74), + sky130_fd_sc_hd__clkbuf_2 fanout73 (.A(_0754_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net73)); - sky130_fd_sc_hd__clkbuf_2 fanout74 (.A(\mandelbrot.alu.in_zr[5] ), + sky130_fd_sc_hd__buf_2 fanout74 (.A(_0754_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net74)); - sky130_fd_sc_hd__buf_2 fanout75 (.A(\mandelbrot.alu.in_zr[5] ), + sky130_fd_sc_hd__clkbuf_4 fanout75 (.A(_0346_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net75)); - sky130_fd_sc_hd__buf_2 fanout76 (.A(net78), + sky130_fd_sc_hd__buf_2 fanout76 (.A(_0346_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net76)); - sky130_fd_sc_hd__clkbuf_4 fanout77 (.A(net78), + sky130_fd_sc_hd__buf_2 fanout77 (.A(net80), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net77)); - sky130_fd_sc_hd__clkbuf_2 fanout78 (.A(net79), + sky130_fd_sc_hd__dlymetal6s2s_1 fanout78 (.A(net80), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net78)); - sky130_fd_sc_hd__buf_4 fanout79 (.A(\mandelbrot.alu.in_zr[4] ), + sky130_fd_sc_hd__buf_2 fanout79 (.A(net80), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net79)); - sky130_fd_sc_hd__clkbuf_4 fanout80 (.A(net81), + sky130_fd_sc_hd__buf_1 fanout80 (.A(_0345_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net80)); - sky130_fd_sc_hd__buf_2 fanout81 (.A(net83), + sky130_fd_sc_hd__clkbuf_4 fanout81 (.A(_1392_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net81)); - sky130_fd_sc_hd__buf_2 fanout82 (.A(net83), + sky130_fd_sc_hd__clkbuf_4 fanout82 (.A(_1392_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net82)); - sky130_fd_sc_hd__clkbuf_2 fanout83 (.A(\mandelbrot.alu.in_zr[3] ), + sky130_fd_sc_hd__clkbuf_4 fanout83 (.A(net85), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net83)); - sky130_fd_sc_hd__buf_2 fanout84 (.A(net89), + sky130_fd_sc_hd__clkbuf_2 fanout84 (.A(net85), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net84)); - sky130_fd_sc_hd__clkbuf_2 fanout85 (.A(net89), + sky130_fd_sc_hd__clkbuf_2 fanout85 (.A(net87), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net85)); - sky130_fd_sc_hd__buf_2 fanout86 (.A(net87), + sky130_fd_sc_hd__clkbuf_4 fanout86 (.A(net87), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net86)); - sky130_fd_sc_hd__buf_2 fanout87 (.A(net89), + sky130_fd_sc_hd__clkbuf_2 fanout87 (.A(_1392_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net87)); - sky130_fd_sc_hd__dlymetal6s2s_1 fanout88 (.A(net89), + sky130_fd_sc_hd__buf_1 max_cap88 (.A(_1077_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net88)); - sky130_fd_sc_hd__buf_2 fanout89 (.A(\mandelbrot.alu.in_zr[2] ), + sky130_fd_sc_hd__buf_1 max_cap89 (.A(_1076_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net89)); - sky130_fd_sc_hd__buf_2 fanout90 (.A(net92), + sky130_fd_sc_hd__buf_1 max_cap90 (.A(_1073_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), @@ -25126,25 +20478,25 @@ module tt_um_gfg_development_tinymandelbrot (clk, .VPB(VPWR), .VPWR(VPWR), .X(net91)); - sky130_fd_sc_hd__clkbuf_4 fanout92 (.A(net93), + sky130_fd_sc_hd__clkbuf_2 fanout92 (.A(_1691_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net92)); - sky130_fd_sc_hd__clkbuf_2 fanout93 (.A(\mandelbrot.alu.in_zr[1] ), + sky130_fd_sc_hd__clkbuf_2 fanout93 (.A(net95), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net93)); - sky130_fd_sc_hd__clkbuf_2 fanout94 (.A(net97), + sky130_fd_sc_hd__buf_1 fanout94 (.A(net95), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net94)); - sky130_fd_sc_hd__clkbuf_2 fanout95 (.A(net97), + sky130_fd_sc_hd__clkbuf_2 fanout95 (.A(_1396_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), @@ -25156,37 +20508,37 @@ module tt_um_gfg_development_tinymandelbrot (clk, .VPB(VPWR), .VPWR(VPWR), .X(net96)); - sky130_fd_sc_hd__buf_2 fanout97 (.A(\mandelbrot.alu.in_zr[0] ), + sky130_fd_sc_hd__buf_2 fanout97 (.A(\mandelbrot.alu.finished ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net97)); - sky130_fd_sc_hd__clkbuf_4 fanout98 (.A(\mandelbrot.stopped ), + sky130_fd_sc_hd__clkbuf_4 fanout98 (.A(net100), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net98)); - sky130_fd_sc_hd__clkbuf_2 fanout99 (.A(\mandelbrot.alu.in_zi[10] ), + sky130_fd_sc_hd__clkbuf_4 fanout99 (.A(net100), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net99)); - sky130_fd_sc_hd__buf_2 fanout100 (.A(net102), + sky130_fd_sc_hd__clkbuf_4 fanout100 (.A(\mandelbrot.running ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net100)); - sky130_fd_sc_hd__clkbuf_4 fanout101 (.A(net102), + sky130_fd_sc_hd__clkbuf_2 fanout101 (.A(\mandelbrot.ctr_select[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net101)); - sky130_fd_sc_hd__clkbuf_2 fanout102 (.A(\mandelbrot.alu.in_zi[10] ), + sky130_fd_sc_hd__clkbuf_4 fanout102 (.A(\mandelbrot.alu.m1[17] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), @@ -25198,8478 +20550,8653 @@ module tt_um_gfg_development_tinymandelbrot (clk, .VPB(VPWR), .VPWR(VPWR), .X(net103)); - sky130_fd_sc_hd__buf_2 fanout104 (.A(\mandelbrot.alu.in_zi[9] ), + sky130_fd_sc_hd__clkbuf_2 fanout104 (.A(\mandelbrot.alu.m1[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net104)); - sky130_fd_sc_hd__clkbuf_4 fanout105 (.A(net107), + sky130_fd_sc_hd__buf_2 fanout105 (.A(\mandelbrot.alu.m1[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net105)); - sky130_fd_sc_hd__buf_2 fanout106 (.A(net107), + sky130_fd_sc_hd__clkbuf_4 fanout106 (.A(net109), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net106)); - sky130_fd_sc_hd__clkbuf_2 fanout107 (.A(\mandelbrot.alu.in_zi[9] ), + sky130_fd_sc_hd__clkbuf_4 fanout107 (.A(net109), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net107)); - sky130_fd_sc_hd__buf_2 fanout108 (.A(net109), + sky130_fd_sc_hd__clkbuf_2 fanout108 (.A(net109), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net108)); - sky130_fd_sc_hd__buf_2 fanout109 (.A(net112), + sky130_fd_sc_hd__clkbuf_2 fanout109 (.A(\mandelbrot.alu.first_iteration ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net109)); - sky130_fd_sc_hd__clkbuf_4 fanout110 (.A(net111), + sky130_fd_sc_hd__buf_2 fanout110 (.A(\mandelbrot.alu.in_zi[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net110)); - sky130_fd_sc_hd__buf_4 fanout111 (.A(net112), + sky130_fd_sc_hd__buf_2 fanout111 (.A(\mandelbrot.ctr[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net111)); - sky130_fd_sc_hd__clkbuf_2 fanout112 (.A(\mandelbrot.alu.in_zi[8] ), + sky130_fd_sc_hd__clkbuf_4 fanout112 (.A(net113), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net112)); - sky130_fd_sc_hd__buf_2 fanout113 (.A(net114), + sky130_fd_sc_hd__buf_2 fanout113 (.A(net116), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net113)); - sky130_fd_sc_hd__clkbuf_4 fanout114 (.A(\mandelbrot.alu.in_zi[7] ), + sky130_fd_sc_hd__buf_2 fanout114 (.A(net116), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net114)); - sky130_fd_sc_hd__clkbuf_4 fanout115 (.A(\mandelbrot.alu.in_zi[7] ), + sky130_fd_sc_hd__buf_2 fanout115 (.A(net116), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net115)); - sky130_fd_sc_hd__dlymetal6s2s_1 fanout116 (.A(\mandelbrot.alu.in_zi[7] ), + sky130_fd_sc_hd__clkbuf_2 fanout116 (.A(\mandelbrot.alu.mult_zr_zi.running ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net116)); - sky130_fd_sc_hd__clkbuf_4 fanout117 (.A(net118), + sky130_fd_sc_hd__buf_2 fanout117 (.A(net118), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net117)); - sky130_fd_sc_hd__clkbuf_4 fanout118 (.A(\mandelbrot.alu.in_zi[6] ), + sky130_fd_sc_hd__buf_2 fanout118 (.A(net121), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net118)); - sky130_fd_sc_hd__clkbuf_4 fanout119 (.A(net121), + sky130_fd_sc_hd__buf_2 fanout119 (.A(net121), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net119)); - sky130_fd_sc_hd__buf_1 fanout120 (.A(net121), + sky130_fd_sc_hd__clkbuf_2 fanout120 (.A(net121), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net120)); - sky130_fd_sc_hd__clkbuf_2 fanout121 (.A(\mandelbrot.alu.in_zi[6] ), + sky130_fd_sc_hd__clkbuf_2 fanout121 (.A(net122), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net121)); - sky130_fd_sc_hd__buf_2 fanout122 (.A(net124), + sky130_fd_sc_hd__clkbuf_2 fanout122 (.A(\mandelbrot.alu.mult_zi_zi.running ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net122)); + sky130_fd_sc_hd__clkbuf_4 fanout123 (.A(net124), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net123)); + sky130_fd_sc_hd__clkbuf_4 fanout124 (.A(\mandelbrot.alu.m3[1] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net124)); + sky130_fd_sc_hd__clkbuf_4 fanout125 (.A(net130), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net125)); + sky130_fd_sc_hd__clkbuf_2 fanout126 (.A(net130), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net126)); + sky130_fd_sc_hd__buf_2 fanout127 (.A(net130), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net127)); + sky130_fd_sc_hd__dlymetal6s2s_1 fanout128 (.A(net130), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net128)); + sky130_fd_sc_hd__buf_2 fanout129 (.A(net130), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net129)); + sky130_fd_sc_hd__buf_2 fanout130 (.A(\mandelbrot.alu.mult_zr_zr.running ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net130)); + sky130_fd_sc_hd__clkbuf_4 fanout131 (.A(net133), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net131)); + sky130_fd_sc_hd__clkbuf_4 fanout132 (.A(net133), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(net122)); - sky130_fd_sc_hd__buf_2 fanout123 (.A(net124), + .X(net132)); + sky130_fd_sc_hd__clkbuf_2 fanout133 (.A(\mandelbrot.alu.m2[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(net123)); - sky130_fd_sc_hd__buf_2 fanout124 (.A(net126), - .VGND(VGND), + .X(net133)); + sky130_fd_sc_hd__conb_1 _3777__134 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(net124)); - sky130_fd_sc_hd__buf_2 fanout125 (.A(net126), - .VGND(VGND), + .HI(net134)); + sky130_fd_sc_hd__conb_1 _3778__135 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(net125)); - sky130_fd_sc_hd__clkbuf_2 fanout126 (.A(\mandelbrot.alu.in_zi[5] ), - .VGND(VGND), + .HI(net135)); + sky130_fd_sc_hd__conb_1 _3779__136 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(net126)); - sky130_fd_sc_hd__buf_2 fanout127 (.A(net132), - .VGND(VGND), + .HI(net136)); + sky130_fd_sc_hd__conb_1 _3780__137 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(net127)); - sky130_fd_sc_hd__buf_2 fanout128 (.A(net132), - .VGND(VGND), + .HI(net137)); + sky130_fd_sc_hd__conb_1 _3781__138 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(net128)); - sky130_fd_sc_hd__buf_2 fanout129 (.A(net130), - .VGND(VGND), + .HI(net138)); + sky130_fd_sc_hd__conb_1 _3782__139 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(net129)); - sky130_fd_sc_hd__clkbuf_2 fanout130 (.A(net132), - .VGND(VGND), + .HI(net139)); + sky130_fd_sc_hd__conb_1 _3783__140 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(net130)); - sky130_fd_sc_hd__buf_2 fanout131 (.A(net132), - .VGND(VGND), + .HI(net140)); + sky130_fd_sc_hd__conb_1 _3784__141 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(net131)); - sky130_fd_sc_hd__clkbuf_2 fanout132 (.A(\mandelbrot.alu.in_zi[4] ), + .HI(net141)); + sky130_fd_sc_hd__clkbuf_8 clkbuf_leaf_1_clk (.A(clknet_1_0__leaf_clk), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(net132)); - sky130_fd_sc_hd__clkbuf_4 fanout133 (.A(net134), + .X(clknet_leaf_1_clk)); + sky130_fd_sc_hd__clkbuf_8 clkbuf_leaf_2_clk (.A(clknet_1_0__leaf_clk), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(net133)); - sky130_fd_sc_hd__clkbuf_4 fanout134 (.A(net137), + .X(clknet_leaf_2_clk)); + sky130_fd_sc_hd__clkbuf_8 clkbuf_leaf_3_clk (.A(clknet_1_1__leaf_clk), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(net134)); - sky130_fd_sc_hd__clkbuf_4 fanout135 (.A(net136), + .X(clknet_leaf_3_clk)); + sky130_fd_sc_hd__clkbuf_8 clkbuf_leaf_4_clk (.A(clknet_1_1__leaf_clk), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(net135)); - sky130_fd_sc_hd__buf_2 fanout136 (.A(net137), + .X(clknet_leaf_4_clk)); + sky130_fd_sc_hd__clkbuf_8 clkbuf_leaf_5_clk (.A(clknet_1_1__leaf_clk), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(net136)); - sky130_fd_sc_hd__buf_2 fanout137 (.A(\mandelbrot.alu.in_zi[3] ), + .X(clknet_leaf_5_clk)); + sky130_fd_sc_hd__clkbuf_8 clkbuf_leaf_6_clk (.A(clknet_1_1__leaf_clk), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(net137)); - sky130_fd_sc_hd__clkbuf_4 fanout138 (.A(\mandelbrot.alu.in_zi[2] ), + .X(clknet_leaf_6_clk)); + sky130_fd_sc_hd__clkbuf_8 clkbuf_leaf_7_clk (.A(clknet_1_1__leaf_clk), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(net138)); - sky130_fd_sc_hd__clkbuf_4 fanout139 (.A(\mandelbrot.alu.in_zi[2] ), + .X(clknet_leaf_7_clk)); + sky130_fd_sc_hd__clkbuf_8 clkbuf_leaf_8_clk (.A(clknet_1_1__leaf_clk), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(net139)); - sky130_fd_sc_hd__buf_2 fanout140 (.A(net141), + .X(clknet_leaf_8_clk)); + sky130_fd_sc_hd__clkbuf_8 clkbuf_leaf_9_clk (.A(clknet_1_1__leaf_clk), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(net140)); - sky130_fd_sc_hd__clkbuf_4 fanout141 (.A(net143), + .X(clknet_leaf_9_clk)); + sky130_fd_sc_hd__clkbuf_8 clkbuf_leaf_10_clk (.A(clknet_1_1__leaf_clk), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(net141)); - sky130_fd_sc_hd__buf_2 fanout142 (.A(net143), + .X(clknet_leaf_10_clk)); + sky130_fd_sc_hd__clkbuf_8 clkbuf_leaf_11_clk (.A(clknet_1_1__leaf_clk), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(net142)); - sky130_fd_sc_hd__clkbuf_2 fanout143 (.A(\mandelbrot.alu.in_zi[2] ), + .X(clknet_leaf_11_clk)); + sky130_fd_sc_hd__clkbuf_8 clkbuf_leaf_12_clk (.A(clknet_1_1__leaf_clk), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(net143)); - sky130_fd_sc_hd__buf_2 fanout144 (.A(net145), + .X(clknet_leaf_12_clk)); + sky130_fd_sc_hd__clkbuf_8 clkbuf_leaf_13_clk (.A(clknet_1_1__leaf_clk), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(net144)); - sky130_fd_sc_hd__clkbuf_2 fanout145 (.A(\mandelbrot.alu.in_zi[1] ), + .X(clknet_leaf_13_clk)); + sky130_fd_sc_hd__clkbuf_8 clkbuf_leaf_14_clk (.A(clknet_1_1__leaf_clk), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(net145)); - sky130_fd_sc_hd__buf_2 fanout146 (.A(net148), + .X(clknet_leaf_14_clk)); + sky130_fd_sc_hd__clkbuf_8 clkbuf_leaf_15_clk (.A(clknet_1_1__leaf_clk), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(net146)); - sky130_fd_sc_hd__clkbuf_2 fanout147 (.A(net148), + .X(clknet_leaf_15_clk)); + sky130_fd_sc_hd__clkbuf_8 clkbuf_leaf_16_clk (.A(clknet_1_1__leaf_clk), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(net147)); - sky130_fd_sc_hd__buf_2 fanout148 (.A(\mandelbrot.alu.in_zi[1] ), + .X(clknet_leaf_16_clk)); + sky130_fd_sc_hd__clkbuf_8 clkbuf_leaf_17_clk (.A(clknet_1_0__leaf_clk), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(net148)); - sky130_fd_sc_hd__clkbuf_4 fanout149 (.A(net153), + .X(clknet_leaf_17_clk)); + sky130_fd_sc_hd__clkbuf_8 clkbuf_leaf_18_clk (.A(clknet_1_0__leaf_clk), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(net149)); - sky130_fd_sc_hd__clkbuf_4 fanout150 (.A(net152), + .X(clknet_leaf_18_clk)); + sky130_fd_sc_hd__clkbuf_8 clkbuf_leaf_19_clk (.A(clknet_1_0__leaf_clk), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(net150)); - sky130_fd_sc_hd__clkbuf_2 fanout151 (.A(net152), + .X(clknet_leaf_19_clk)); + sky130_fd_sc_hd__clkbuf_8 clkbuf_leaf_20_clk (.A(clknet_1_0__leaf_clk), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(net151)); - sky130_fd_sc_hd__clkbuf_2 fanout152 (.A(net153), + .X(clknet_leaf_20_clk)); + sky130_fd_sc_hd__clkbuf_8 clkbuf_leaf_21_clk (.A(clknet_1_0__leaf_clk), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(net152)); - sky130_fd_sc_hd__buf_2 fanout153 (.A(\mandelbrot.alu.in_zi[0] ), + .X(clknet_leaf_21_clk)); + sky130_fd_sc_hd__clkbuf_8 clkbuf_leaf_22_clk (.A(clknet_1_0__leaf_clk), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(net153)); - sky130_fd_sc_hd__clkbuf_2 fanout154 (.A(net156), + .X(clknet_leaf_22_clk)); + sky130_fd_sc_hd__clkbuf_8 clkbuf_leaf_23_clk (.A(clknet_1_0__leaf_clk), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(net154)); - sky130_fd_sc_hd__buf_1 fanout155 (.A(net156), + .X(clknet_leaf_23_clk)); + sky130_fd_sc_hd__clkbuf_8 clkbuf_leaf_24_clk (.A(clknet_1_0__leaf_clk), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(net155)); - sky130_fd_sc_hd__clkbuf_2 fanout156 (.A(net1), + .X(clknet_leaf_24_clk)); + sky130_fd_sc_hd__clkbuf_16 clkbuf_0_clk (.A(clk), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(net156)); - sky130_fd_sc_hd__conb_1 _5132__157 (.VGND(VGND), + .X(clknet_0_clk)); + sky130_fd_sc_hd__clkbuf_16 clkbuf_1_0__f_clk (.A(clknet_0_clk), + .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .HI(net157)); - sky130_fd_sc_hd__conb_1 _5133__158 (.VGND(VGND), + .X(clknet_1_0__leaf_clk)); + sky130_fd_sc_hd__clkbuf_16 clkbuf_1_1__f_clk (.A(clknet_0_clk), + .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .HI(net158)); - sky130_fd_sc_hd__conb_1 _5134__159 (.VGND(VGND), + .X(clknet_1_1__leaf_clk)); + sky130_fd_sc_hd__dlygate4sd3_1 hold1 (.A(\l_sdata[1] ), + .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .HI(net159)); - sky130_fd_sc_hd__conb_1 _5135__160 (.VGND(VGND), + .X(net143)); + sky130_fd_sc_hd__dlygate4sd3_1 hold2 (.A(\l_sclk[0] ), + .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .HI(net160)); - sky130_fd_sc_hd__conb_1 _5136__161 (.VGND(VGND), + .X(net144)); + sky130_fd_sc_hd__dlygate4sd3_1 hold3 (.A(\l_sdata[0] ), + .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .HI(net161)); - sky130_fd_sc_hd__conb_1 _5137__162 (.VGND(VGND), + .X(net145)); + sky130_fd_sc_hd__dlygate4sd3_1 hold4 (.A(\l_sen[0] ), + .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .HI(net162)); - sky130_fd_sc_hd__conb_1 _5138__163 (.VGND(VGND), + .X(net146)); + sky130_fd_sc_hd__dlygate4sd3_1 hold5 (.A(\l_sclk[1] ), + .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .HI(net163)); - sky130_fd_sc_hd__conb_1 _5139__164 (.VGND(VGND), + .X(net147)); + sky130_fd_sc_hd__dlygate4sd3_1 hold6 (.A(\state[2] ), + .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .HI(net164)); - sky130_fd_sc_hd__clkbuf_8 clkbuf_4_0_0_clk (.A(clknet_0_clk), + .X(net148)); + sky130_fd_sc_hd__dlygate4sd3_1 hold7 (.A(\l_sen[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(clknet_4_0_0_clk)); - sky130_fd_sc_hd__clkbuf_8 clkbuf_4_1_0_clk (.A(clknet_0_clk), + .X(net149)); + sky130_fd_sc_hd__dlygate4sd3_1 hold8 (.A(\mandelbrot.cr_offset[12] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(clknet_4_1_0_clk)); - sky130_fd_sc_hd__clkbuf_8 clkbuf_4_2_0_clk (.A(clknet_0_clk), + .X(net150)); + sky130_fd_sc_hd__dlygate4sd3_1 hold9 (.A(_0087_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(clknet_4_2_0_clk)); - sky130_fd_sc_hd__clkbuf_8 clkbuf_4_3_0_clk (.A(clknet_0_clk), + .X(net151)); + sky130_fd_sc_hd__dlygate4sd3_1 hold10 (.A(\l_sdata[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(clknet_4_3_0_clk)); - sky130_fd_sc_hd__clkbuf_8 clkbuf_4_4_0_clk (.A(clknet_0_clk), + .X(net152)); + sky130_fd_sc_hd__dlygate4sd3_1 hold11 (.A(_0127_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(clknet_4_4_0_clk)); - sky130_fd_sc_hd__clkbuf_8 clkbuf_4_5_0_clk (.A(clknet_0_clk), + .X(net153)); + sky130_fd_sc_hd__dlygate4sd3_1 hold12 (.A(\mandelbrot.overflowed ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(clknet_4_5_0_clk)); - sky130_fd_sc_hd__clkbuf_8 clkbuf_4_6_0_clk (.A(clknet_0_clk), + .X(net154)); + sky130_fd_sc_hd__dlygate4sd3_1 hold13 (.A(\mandelbrot.cr_offset[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(clknet_4_6_0_clk)); - sky130_fd_sc_hd__clkbuf_8 clkbuf_4_7_0_clk (.A(clknet_0_clk), + .X(net155)); + sky130_fd_sc_hd__dlygate4sd3_1 hold14 (.A(\mandelbrot.ci_offset[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(clknet_4_7_0_clk)); - sky130_fd_sc_hd__clkbuf_8 clkbuf_4_8_0_clk (.A(clknet_0_clk), + .X(net156)); + sky130_fd_sc_hd__dlygate4sd3_1 hold15 (.A(_0093_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(clknet_4_8_0_clk)); - sky130_fd_sc_hd__clkbuf_8 clkbuf_4_9_0_clk (.A(clknet_0_clk), + .X(net157)); + sky130_fd_sc_hd__dlygate4sd3_1 hold16 (.A(\mandelbrot.cr_offset[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(clknet_4_9_0_clk)); - sky130_fd_sc_hd__clkbuf_8 clkbuf_4_10_0_clk (.A(clknet_0_clk), + .X(net158)); + sky130_fd_sc_hd__dlygate4sd3_1 hold17 (.A(_0078_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(clknet_4_10_0_clk)); - sky130_fd_sc_hd__clkbuf_8 clkbuf_4_11_0_clk (.A(clknet_0_clk), + .X(net159)); + sky130_fd_sc_hd__dlygate4sd3_1 hold18 (.A(\mandelbrot.cr_offset[14] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(clknet_4_11_0_clk)); - sky130_fd_sc_hd__clkbuf_8 clkbuf_4_12_0_clk (.A(clknet_0_clk), + .X(net160)); + sky130_fd_sc_hd__dlygate4sd3_1 hold19 (.A(\mandelbrot.cr_offset[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(clknet_4_12_0_clk)); - sky130_fd_sc_hd__clkbuf_8 clkbuf_4_13_0_clk (.A(clknet_0_clk), + .X(net161)); + sky130_fd_sc_hd__dlygate4sd3_1 hold20 (.A(\mandelbrot.alu.mult_zi_zi.ctr[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(clknet_4_13_0_clk)); - sky130_fd_sc_hd__clkbuf_8 clkbuf_4_14_0_clk (.A(clknet_0_clk), + .X(net162)); + sky130_fd_sc_hd__dlygate4sd3_1 hold21 (.A(\mandelbrot.cr_offset[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(clknet_4_14_0_clk)); - sky130_fd_sc_hd__clkbuf_8 clkbuf_4_15_0_clk (.A(clknet_0_clk), + .X(net163)); + sky130_fd_sc_hd__dlygate4sd3_1 hold22 (.A(\mandelbrot.alu.mult_zr_zi.ctr[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(clknet_4_15_0_clk)); - sky130_fd_sc_hd__dlygate4sd3_1 hold1 (.A(\l_sdata[1] ), + .X(net164)); + sky130_fd_sc_hd__dlygate4sd3_1 hold23 (.A(\mandelbrot.alu.mult_zi_zi.ctr[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net165)); - sky130_fd_sc_hd__dlygate4sd3_1 hold2 (.A(\l_sen[0] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold24 (.A(\mandelbrot.alu.m3[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net166)); - sky130_fd_sc_hd__dlygate4sd3_1 hold3 (.A(\l_sdata[0] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold25 (.A(_0189_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net167)); - sky130_fd_sc_hd__dlygate4sd3_1 hold4 (.A(\l_sclk[0] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold26 (.A(\mandelbrot.ci_offset[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net168)); - sky130_fd_sc_hd__dlygate4sd3_1 hold5 (.A(\l_sclk[1] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold27 (.A(_0097_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net169)); - sky130_fd_sc_hd__dlygate4sd3_1 hold6 (.A(\l_sen[1] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold28 (.A(\mandelbrot.ci_offset[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net170)); - sky130_fd_sc_hd__dlygate4sd3_1 hold7 (.A(\state[2] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold29 (.A(_0099_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net171)); - sky130_fd_sc_hd__dlygate4sd3_1 hold8 (.A(\vga.pixel_buffer[0] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold30 (.A(\mandelbrot.ci_offset[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net172)); - sky130_fd_sc_hd__dlygate4sd3_1 hold9 (.A(\l_sdata[2] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold31 (.A(\mandelbrot.x[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net173)); - sky130_fd_sc_hd__dlygate4sd3_1 hold10 (.A(_0100_), + sky130_fd_sc_hd__dlygate4sd3_1 hold32 (.A(\mandelbrot.ci_offset[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net174)); - sky130_fd_sc_hd__dlygate4sd3_1 hold11 (.A(\mandelbrot.cr_offset[0] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold33 (.A(_0102_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net175)); - sky130_fd_sc_hd__dlygate4sd3_1 hold12 (.A(_0068_), + sky130_fd_sc_hd__dlygate4sd3_1 hold34 (.A(\mandelbrot.alu.mult_zr_zr.ctr[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net176)); - sky130_fd_sc_hd__dlygate4sd3_1 hold13 (.A(\mandelbrot.overflowed ), + sky130_fd_sc_hd__dlygate4sd3_1 hold35 (.A(\mandelbrot.ci_offset[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net177)); - sky130_fd_sc_hd__dlygate4sd3_1 hold14 (.A(\mandelbrot.cr_offset[3] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold36 (.A(\vga.wrote_data ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net178)); - sky130_fd_sc_hd__dlygate4sd3_1 hold15 (.A(_0037_), + sky130_fd_sc_hd__dlygate4sd3_1 hold37 (.A(_0002_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net179)); - sky130_fd_sc_hd__dlygate4sd3_1 hold16 (.A(\mandelbrot.y[3] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold38 (.A(\mandelbrot.ci_offset[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net180)); - sky130_fd_sc_hd__dlygate4sd3_1 hold17 (.A(\vga.pixel_buffer[3] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold39 (.A(\mandelbrot.alu.mult_zr_zr.ctr[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net181)); - sky130_fd_sc_hd__dlygate4sd3_1 hold18 (.A(\mandelbrot.x[5] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold40 (.A(\mandelbrot.cr_offset[13] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net182)); - sky130_fd_sc_hd__dlygate4sd3_1 hold19 (.A(\mandelbrot.cr_offset[4] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold41 (.A(\mandelbrot.ci_offset[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net183)); - sky130_fd_sc_hd__dlygate4sd3_1 hold20 (.A(\mandelbrot.ci_offset[6] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold42 (.A(\mandelbrot.ci_offset[13] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net184)); - sky130_fd_sc_hd__dlygate4sd3_1 hold21 (.A(_0085_), + sky130_fd_sc_hd__dlygate4sd3_1 hold43 (.A(_0105_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net185)); - sky130_fd_sc_hd__dlygate4sd3_1 hold22 (.A(\mandelbrot.x[3] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold44 (.A(\mandelbrot.ci_offset[14] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net186)); - sky130_fd_sc_hd__dlygate4sd3_1 hold23 (.A(\mandelbrot.ci_offset[9] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold45 (.A(_0106_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net187)); - sky130_fd_sc_hd__dlygate4sd3_1 hold24 (.A(_0088_), + sky130_fd_sc_hd__dlygate4sd3_1 hold46 (.A(\mandelbrot.ci_offset[12] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net188)); - sky130_fd_sc_hd__dlygate4sd3_1 hold25 (.A(\mandelbrot.ci_offset[0] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold47 (.A(\mandelbrot.alu.m3[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net189)); - sky130_fd_sc_hd__dlygate4sd3_1 hold26 (.A(_0079_), + sky130_fd_sc_hd__dlygate4sd3_1 hold48 (.A(\mandelbrot.ci_offset[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net190)); - sky130_fd_sc_hd__dlygate4sd3_1 hold27 (.A(\mandelbrot.ci_offset[5] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold49 (.A(\mandelbrot.alu.m3[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net191)); - sky130_fd_sc_hd__dlygate4sd3_1 hold28 (.A(\mandelbrot.cr_offset[9] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold50 (.A(_0188_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net192)); - sky130_fd_sc_hd__dlygate4sd3_1 hold29 (.A(_0077_), + sky130_fd_sc_hd__dlygate4sd3_1 hold51 (.A(\mandelbrot.y[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net193)); - sky130_fd_sc_hd__dlygate4sd3_1 hold30 (.A(\mandelbrot.cr_offset[5] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold52 (.A(\mandelbrot.ci_offset[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net194)); - sky130_fd_sc_hd__dlygate4sd3_1 hold31 (.A(_0039_), + sky130_fd_sc_hd__dlygate4sd3_1 hold53 (.A(_0092_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net195)); - sky130_fd_sc_hd__dlygate4sd3_1 hold32 (.A(\mandelbrot.cr_offset[10] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold54 (.A(\mandelbrot.y[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net196)); - sky130_fd_sc_hd__dlygate4sd3_1 hold33 (.A(\mandelbrot.ci_offset[4] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold55 (.A(\mandelbrot.cr_offset[15] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net197)); - sky130_fd_sc_hd__dlygate4sd3_1 hold34 (.A(_0082_), + sky130_fd_sc_hd__dlygate4sd3_1 hold56 (.A(\mandelbrot.cr_offset[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net198)); - sky130_fd_sc_hd__dlygate4sd3_1 hold35 (.A(\mandelbrot.cr_offset[8] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold57 (.A(_0083_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net199)); - sky130_fd_sc_hd__dlygate4sd3_1 hold36 (.A(\mandelbrot.ci_offset[8] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold58 (.A(\state[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net200)); - sky130_fd_sc_hd__dlygate4sd3_1 hold37 (.A(\mandelbrot.ci_offset[7] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold59 (.A(\mandelbrot.cr_offset[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net201)); - sky130_fd_sc_hd__dlygate4sd3_1 hold38 (.A(\mandelbrot.cr_offset[1] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold60 (.A(\mandelbrot.cr_offset[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net202)); - sky130_fd_sc_hd__dlygate4sd3_1 hold39 (.A(_0069_), + sky130_fd_sc_hd__dlygate4sd3_1 hold61 (.A(_0086_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net203)); - sky130_fd_sc_hd__dlygate4sd3_1 hold40 (.A(\mandelbrot.ci_offset[2] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold62 (.A(\mandelbrot.alu.mult_zr_zi.ctr[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net204)); - sky130_fd_sc_hd__dlygate4sd3_1 hold41 (.A(_0080_), + sky130_fd_sc_hd__dlygate4sd3_1 hold63 (.A(\mandelbrot.cr_offset[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net205)); - sky130_fd_sc_hd__dlygate4sd3_1 hold42 (.A(\mandelbrot.ci_offset[10] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold64 (.A(_0084_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net206)); - sky130_fd_sc_hd__dlygate4sd3_1 hold43 (.A(\mandelbrot.ci_offset[3] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold65 (.A(\mandelbrot.cr_offset[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net207)); - sky130_fd_sc_hd__dlygate4sd3_1 hold44 (.A(\mandelbrot.cr_offset[2] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold66 (.A(\mandelbrot.cr_offset[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net208)); - sky130_fd_sc_hd__dlygate4sd3_1 hold45 (.A(\mandelbrot.cr_offset[7] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold67 (.A(\mandelbrot.ci_offset[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net209)); - sky130_fd_sc_hd__dlygate4sd3_1 hold46 (.A(\state[1] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold68 (.A(\mandelbrot.alu.mult_zr_zi.shift_reg[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net210)); - sky130_fd_sc_hd__dlygate4sd3_1 hold47 (.A(_0142_), + sky130_fd_sc_hd__dlygate4sd3_1 hold69 (.A(\mandelbrot.cr_offset[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net211)); - sky130_fd_sc_hd__dlygate4sd3_1 hold48 (.A(\mandelbrot.cr_offset[6] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold70 (.A(\mandelbrot.alu.m1[17] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net212)); - sky130_fd_sc_hd__dlygate4sd3_1 hold49 (.A(\mandelbrot.alu.in_cr[6] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold71 (.A(\mandelbrot.alu.m3[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net213)); - sky130_fd_sc_hd__dlygate4sd3_1 hold50 (.A(l_running), + sky130_fd_sc_hd__dlygate4sd3_1 hold72 (.A(\mandelbrot.alu.in_zr[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net214)); - sky130_fd_sc_hd__dlygate4sd3_1 hold51 (.A(\mandelbrot.alu.in_cr[2] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold73 (.A(\mandelbrot.y[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net215)); - sky130_fd_sc_hd__dlygate4sd3_1 hold52 (.A(\vga.pixel_buffer[1] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold74 (.A(\mandelbrot.alu.m3[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net216)); - sky130_fd_sc_hd__dlygate4sd3_1 hold53 (.A(\mandelbrot.y[5] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold75 (.A(_0182_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net217)); - sky130_fd_sc_hd__dlygate4sd3_1 hold54 (.A(\mandelbrot.alu.in_cr[7] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold76 (.A(\vga.pixel_ctr[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net218)); - sky130_fd_sc_hd__dlygate4sd3_1 hold55 (.A(\vga.pixel_buffer[2] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold77 (.A(\mandelbrot.alu.m3[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net219)); - sky130_fd_sc_hd__dlygate4sd3_1 hold56 (.A(\mandelbrot.x[8] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold78 (.A(\mandelbrot.x[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net220)); - sky130_fd_sc_hd__dlygate4sd3_1 hold57 (.A(\mandelbrot.max_ctr[2] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold79 (.A(\mandelbrot.alu.mult_zi_zi.shift_reg[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net221)); - sky130_fd_sc_hd__dlygate4sd3_1 hold58 (.A(_0096_), + sky130_fd_sc_hd__dlygate4sd3_1 hold80 (.A(\mandelbrot.alu.m3[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net222)); - sky130_fd_sc_hd__dlygate4sd3_1 hold59 (.A(\mandelbrot.max_ctr[1] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold81 (.A(\mandelbrot.alu.m3[12] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net223)); - sky130_fd_sc_hd__dlygate4sd3_1 hold60 (.A(\mandelbrot.y[7] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold82 (.A(\mandelbrot.alu.m3[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net224)); - sky130_fd_sc_hd__dlygate4sd3_1 hold61 (.A(\vga.pixel_ctr[9] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold83 (.A(\mandelbrot.alu.in_zr[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net225)); - sky130_fd_sc_hd__dlygate4sd3_1 hold62 (.A(\state[3] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold84 (.A(\vga.timing_v.pixel[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net226)); - sky130_fd_sc_hd__dlygate4sd3_1 hold63 (.A(\vga.line_ctr[1] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold85 (.A(\state[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net227)); - sky130_fd_sc_hd__dlygate4sd3_1 hold64 (.A(_0104_), + sky130_fd_sc_hd__dlygate4sd3_1 hold86 (.A(\mandelbrot.max_ctr[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net228)); - sky130_fd_sc_hd__dlygate4sd3_1 hold65 (.A(\mandelbrot.max_ctr[3] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold87 (.A(_0126_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net229)); - sky130_fd_sc_hd__dlygate4sd3_1 hold66 (.A(_0097_), + sky130_fd_sc_hd__dlygate4sd3_1 hold88 (.A(\mandelbrot.alu.in_zr[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net230)); - sky130_fd_sc_hd__dlygate4sd3_1 hold67 (.A(\vga.pixel_ctr[7] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold89 (.A(\mandelbrot.max_ctr[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net231)); - sky130_fd_sc_hd__dlygate4sd3_1 hold68 (.A(\state[0] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold90 (.A(\mandelbrot.max_ctr[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net232)); - sky130_fd_sc_hd__dlygate4sd3_1 hold69 (.A(\vga.pixel_ctr[0] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold91 (.A(_0121_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net233)); - sky130_fd_sc_hd__dlygate4sd3_1 hold70 (.A(\mandelbrot.finished ), + sky130_fd_sc_hd__dlygate4sd3_1 hold92 (.A(\mandelbrot.alu.in_zr[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net234)); - sky130_fd_sc_hd__dlygate4sd3_1 hold71 (.A(\vga.line_ctr[9] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold93 (.A(\mandelbrot.alu.in_zr[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net235)); - sky130_fd_sc_hd__dlygate4sd3_1 hold72 (.A(\mandelbrot.stopped ), + sky130_fd_sc_hd__dlygate4sd3_1 hold94 (.A(\mandelbrot.max_ctr[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net236)); - sky130_fd_sc_hd__dlygate4sd3_1 hold73 (.A(_0060_), + sky130_fd_sc_hd__dlygate4sd3_1 hold95 (.A(_0122_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net237)); - sky130_fd_sc_hd__dlygate4sd3_1 hold74 (.A(\mandelbrot.max_ctr[0] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold96 (.A(\vga.pixel_buffer[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net238)); - sky130_fd_sc_hd__dlygate4sd3_1 hold75 (.A(\mandelbrot.x[4] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold97 (.A(\mandelbrot.alu.in_zr[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net239)); - sky130_fd_sc_hd__dlygate4sd3_1 hold76 (.A(\mandelbrot.max_ctr[4] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold98 (.A(\mandelbrot.alu.m3[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net240)); - sky130_fd_sc_hd__dlygate4sd3_1 hold77 (.A(_0098_), + sky130_fd_sc_hd__dlygate4sd3_1 hold99 (.A(\mandelbrot.max_ctr[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net241)); - sky130_fd_sc_hd__dlygate4sd3_1 hold78 (.A(\mandelbrot.y[6] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold100 (.A(\mandelbrot.ci_offset[15] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net242)); - sky130_fd_sc_hd__dlygate4sd3_1 hold79 (.A(\vga.line_ctr[6] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold101 (.A(\vga.pixel_ctr[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net243)); - sky130_fd_sc_hd__dlygate4sd3_1 hold80 (.A(\mandelbrot.max_ctr[6] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold102 (.A(\mandelbrot.alu.mult_zr_zi.ctr[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net244)); - sky130_fd_sc_hd__dlygate4sd3_1 hold81 (.A(_0099_), + sky130_fd_sc_hd__dlygate4sd3_1 hold103 (.A(\mandelbrot.alu.mult_zi_zi.ctr[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net245)); - sky130_fd_sc_hd__dlygate4sd3_1 hold82 (.A(\vga.line_ctr[8] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold104 (.A(\mandelbrot.y[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net246)); - sky130_fd_sc_hd__dlygate4sd3_1 hold83 (.A(\mandelbrot.x[2] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold105 (.A(\mandelbrot.alu.in_zr[14] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net247)); - sky130_fd_sc_hd__dlygate4sd3_1 hold84 (.A(\mandelbrot.alu.in_zr[3] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(net248)); - sky130_fd_sc_hd__dlygate4sd3_1 hold85 (.A(\vga.line_ctr[4] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(net249)); - sky130_fd_sc_hd__dlygate4sd3_1 hold86 (.A(\mandelbrot.x[7] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(net250)); - sky130_fd_sc_hd__dlygate4sd3_1 hold87 (.A(\vga.line_reset ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(net251)); - sky130_fd_sc_hd__dlygate4sd3_1 hold88 (.A(\vga.reset_read_ptr ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(net252)); - sky130_fd_sc_hd__dlygate4sd3_1 hold89 (.A(\vga.new_line ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(net253)); - sky130_fd_sc_hd__dlygate4sd3_1 hold90 (.A(\vga.line_ctr[7] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(net254)); - sky130_fd_sc_hd__dlygate4sd3_1 hold91 (.A(\mandelbrot.ctr[3] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(net255)); - sky130_fd_sc_hd__dlygate4sd3_1 hold92 (.A(\mandelbrot.y[0] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(net256)); - sky130_fd_sc_hd__dlygate4sd3_1 hold93 (.A(\mandelbrot.ctr[5] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(net257)); - sky130_fd_sc_hd__dlygate4sd3_1 hold94 (.A(\mandelbrot.y[4] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(net258)); - sky130_fd_sc_hd__dlygate4sd3_1 hold95 (.A(\vga.pixel_ctr[3] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(net259)); - sky130_fd_sc_hd__dlygate4sd3_1 hold96 (.A(\vga.line_ctr[3] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(net260)); - sky130_fd_sc_hd__dlygate4sd3_1 hold97 (.A(\mandelbrot.run ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(net261)); - sky130_fd_sc_hd__dlygate4sd3_1 hold98 (.A(\mandelbrot.alu.in_ci[7] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(net262)); - sky130_fd_sc_hd__dlygate4sd3_1 hold99 (.A(\mandelbrot.alu.in_ci[6] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(net263)); - sky130_fd_sc_hd__dlygate4sd3_1 hold100 (.A(_0029_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(net264)); - sky130_fd_sc_hd__dlygate4sd3_1 hold101 (.A(\mandelbrot.alu.in_ci[9] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(net265)); - sky130_fd_sc_hd__dlygate4sd3_1 hold102 (.A(\mandelbrot.ci_offset[8] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(net266)); - sky130_fd_sc_hd__dlygate4sd3_1 hold103 (.A(\mandelbrot.cr_offset[9] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(net267)); - sky130_fd_sc_hd__dlygate4sd3_1 hold104 (.A(\mandelbrot.ci_offset[2] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(net268)); - sky130_fd_sc_hd__diode_2 ANTENNA_1 (.DIODE(_1630_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA_2 (.DIODE(_1633_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA_3 (.DIODE(_1728_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA_4 (.DIODE(\mandelbrot.scaling[0] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA_5 (.DIODE(rst_n), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA_6 (.DIODE(ui_in[0]), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA_7 (.DIODE(ui_in[1]), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA_8 (.DIODE(ui_in[6]), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA_9 (.DIODE(_1812_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA_10 (.DIODE(\mandelbrot.x[6] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA_11 (.DIODE(net7), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA_12 (.DIODE(_1726_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA_13 (.DIODE(net156), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_0_3 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_0_15 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__fill_1 FILLER_0_0_27 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_0_29 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_0_41 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_3 FILLER_0_0_53 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_0_57 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_0_69 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_3 FILLER_0_0_81 (.VGND(VGND), + sky130_fd_sc_hd__dlygate4sd3_1 hold106 (.A(\mandelbrot.alu.in_zi[8] ), + .VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_0_85 (.VGND(VGND), + .VPWR(VPWR), + .X(net248)); + sky130_fd_sc_hd__dlygate4sd3_1 hold107 (.A(\mandelbrot.alu.in_zr[8] ), + .VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_0_93 (.VGND(VGND), + .VPWR(VPWR), + .X(net249)); + sky130_fd_sc_hd__dlygate4sd3_1 hold108 (.A(\mandelbrot.alu.in_zi[9] ), + .VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_0_99 (.VGND(VGND), + .VPWR(VPWR), + .X(net250)); + sky130_fd_sc_hd__dlygate4sd3_1 hold109 (.A(\mandelbrot.alu.in_zr[13] ), + .VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_0_104 (.VGND(VGND), + .VPWR(VPWR), + .X(net251)); + sky130_fd_sc_hd__dlygate4sd3_1 hold110 (.A(\mandelbrot.max_ctr[1] ), + .VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_0_113 (.VPWR(VPWR), + .VPWR(VPWR), + .X(net252)); + sky130_fd_sc_hd__dlygate4sd3_1 hold111 (.A(\mandelbrot.alu.in_zi[3] ), .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_8 FILLER_0_0_125 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_0_139 (.VGND(VGND), + .VPWR(VPWR), + .X(net253)); + sky130_fd_sc_hd__dlygate4sd3_1 hold112 (.A(\mandelbrot.max_ctr[5] ), + .VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_0_141 (.VPWR(VPWR), + .VPWR(VPWR), + .X(net254)); + sky130_fd_sc_hd__dlygate4sd3_1 hold113 (.A(_0123_), .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__fill_1 FILLER_0_0_153 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_0_160 (.VGND(VGND), + .VPWR(VPWR), + .X(net255)); + sky130_fd_sc_hd__dlygate4sd3_1 hold114 (.A(\vga.timing_v.pixel[5] ), + .VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_0_169 (.VPWR(VPWR), + .VPWR(VPWR), + .X(net256)); + sky130_fd_sc_hd__dlygate4sd3_1 hold115 (.A(\mandelbrot.alu.in_zi[2] ), .VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_0_181 (.VPWR(VPWR), + .VPWR(VPWR), + .X(net257)); + sky130_fd_sc_hd__dlygate4sd3_1 hold116 (.A(\mandelbrot.ctr_select[2] ), .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_3 FILLER_0_0_193 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_0_197 (.VGND(VGND), + .VPWR(VPWR), + .X(net258)); + sky130_fd_sc_hd__dlygate4sd3_1 hold117 (.A(_0117_), + .VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_0_201 (.VGND(VGND), + .VPWR(VPWR), + .X(net259)); + sky130_fd_sc_hd__dlygate4sd3_1 hold118 (.A(\mandelbrot.alu.in_ci[6] ), + .VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_0_208 (.VGND(VGND), + .VPWR(VPWR), + .X(net260)); + sky130_fd_sc_hd__dlygate4sd3_1 hold119 (.A(\vga.pixel_buffer[3] ), + .VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_0_257 (.VGND(VGND), + .VPWR(VPWR), + .X(net261)); + sky130_fd_sc_hd__dlygate4sd3_1 hold120 (.A(\mandelbrot.max_ctr[0] ), + .VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_0_264 (.VGND(VGND), + .VPWR(VPWR), + .X(net262)); + sky130_fd_sc_hd__dlygate4sd3_1 hold121 (.A(\mandelbrot.alu.in_zr[12] ), + .VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_0_272 (.VGND(VGND), + .VPWR(VPWR), + .X(net263)); + sky130_fd_sc_hd__dlygate4sd3_1 hold122 (.A(\mandelbrot.alu.in_zr[11] ), + .VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_0_278 (.VGND(VGND), + .VPWR(VPWR), + .X(net264)); + sky130_fd_sc_hd__dlygate4sd3_1 hold123 (.A(\mandelbrot.alu.mult_zr_zr.ctr[0] ), + .VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_0_281 (.VPWR(VPWR), + .VPWR(VPWR), + .X(net265)); + sky130_fd_sc_hd__dlygate4sd3_1 hold124 (.A(\mandelbrot.y[7] ), .VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_0_293 (.VPWR(VPWR), + .VPWR(VPWR), + .X(net266)); + sky130_fd_sc_hd__dlygate4sd3_1 hold125 (.A(\mandelbrot.alu.in_zi[5] ), .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_3 FILLER_0_0_305 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_0_309 (.VPWR(VPWR), + .VPWR(VPWR), + .X(net267)); + sky130_fd_sc_hd__dlygate4sd3_1 hold126 (.A(\mandelbrot.alu.in_ci[8] ), .VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_0_321 (.VPWR(VPWR), + .VPWR(VPWR), + .X(net268)); + sky130_fd_sc_hd__dlygate4sd3_1 hold127 (.A(\mandelbrot.alu.in_zi[15] ), .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__fill_2 FILLER_0_0_333 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_1_3 (.VPWR(VPWR), + .VPWR(VPWR), + .X(net269)); + sky130_fd_sc_hd__dlygate4sd3_1 hold128 (.A(\mandelbrot.alu.in_zr[2] ), .VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_1_15 (.VPWR(VPWR), + .VPWR(VPWR), + .X(net270)); + sky130_fd_sc_hd__dlygate4sd3_1 hold129 (.A(\vga.timing_v.pixel[3] ), .VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_1_27 (.VPWR(VPWR), + .VPWR(VPWR), + .X(net271)); + sky130_fd_sc_hd__dlygate4sd3_1 hold130 (.A(\vga.timing_v.pixel[0] ), .VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_1_39 (.VPWR(VPWR), + .VPWR(VPWR), + .X(net272)); + sky130_fd_sc_hd__dlygate4sd3_1 hold131 (.A(\mandelbrot.scaling[2] ), .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_4 FILLER_0_1_51 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_1_55 (.VGND(VGND), + .VPWR(VPWR), + .X(net273)); + sky130_fd_sc_hd__dlygate4sd3_1 hold132 (.A(_0109_), + .VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_1_57 (.VGND(VGND), + .VPWR(VPWR), + .X(net274)); + sky130_fd_sc_hd__dlygate4sd3_1 hold133 (.A(\mandelbrot.alu.in_zi[11] ), + .VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_1_65 (.VGND(VGND), + .VPWR(VPWR), + .X(net275)); + sky130_fd_sc_hd__dlygate4sd3_1 hold134 (.A(\mandelbrot.alu.in_zi[14] ), + .VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_1_78 (.VGND(VGND), + .VPWR(VPWR), + .X(net276)); + sky130_fd_sc_hd__dlygate4sd3_1 hold135 (.A(\mandelbrot.alu.in_ci[9] ), + .VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_1_86 (.VGND(VGND), + .VPWR(VPWR), + .X(net277)); + sky130_fd_sc_hd__dlygate4sd3_1 hold136 (.A(\mandelbrot.alu.in_zi[6] ), + .VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_1_98 (.VGND(VGND), + .VPWR(VPWR), + .X(net278)); + sky130_fd_sc_hd__dlygate4sd3_1 hold137 (.A(\mandelbrot.alu.in_zi[12] ), + .VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_1_103 (.VGND(VGND), + .VPWR(VPWR), + .X(net279)); + sky130_fd_sc_hd__dlygate4sd3_1 hold138 (.A(\mandelbrot.max_ctr[6] ), + .VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_1_108 (.VGND(VGND), + .VPWR(VPWR), + .X(net280)); + sky130_fd_sc_hd__dlygate4sd3_1 hold139 (.A(\mandelbrot.y[3] ), + .VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_1_113 (.VGND(VGND), + .VPWR(VPWR), + .X(net281)); + sky130_fd_sc_hd__dlygate4sd3_1 hold140 (.A(\mandelbrot.cr_offset[11] ), + .VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_1_124 (.VGND(VGND), + .VPWR(VPWR), + .X(net282)); + sky130_fd_sc_hd__dlygate4sd3_1 hold141 (.A(\mandelbrot.y[5] ), + .VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_1_130 (.VGND(VGND), + .VPWR(VPWR), + .X(net283)); + sky130_fd_sc_hd__dlygate4sd3_1 hold142 (.A(\mandelbrot.ctr[3] ), + .VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_1_145 (.VGND(VGND), + .VPWR(VPWR), + .X(net284)); + sky130_fd_sc_hd__dlygate4sd3_1 hold143 (.A(\mandelbrot.alu.in_ci[12] ), + .VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_1_185 (.VGND(VGND), + .VPWR(VPWR), + .X(net285)); + sky130_fd_sc_hd__dlygate4sd3_1 hold144 (.A(\mandelbrot.alu.in_ci[5] ), + .VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_1_222 (.VGND(VGND), + .VPWR(VPWR), + .X(net286)); + sky130_fd_sc_hd__dlygate4sd3_1 hold145 (.A(\mandelbrot.alu.in_ci[11] ), + .VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_1_269 (.VGND(VGND), + .VPWR(VPWR), + .X(net287)); + sky130_fd_sc_hd__dlygate4sd3_1 hold146 (.A(\mandelbrot.x[8] ), + .VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_1_279 (.VGND(VGND), + .VPWR(VPWR), + .X(net288)); + sky130_fd_sc_hd__dlygate4sd3_1 hold147 (.A(_0281_), + .VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_1_288 (.VPWR(VPWR), + .VPWR(VPWR), + .X(net289)); + sky130_fd_sc_hd__dlygate4sd3_1 hold148 (.A(\mandelbrot.ctr[5] ), .VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_1_300 (.VPWR(VPWR), + .VPWR(VPWR), + .X(net290)); + sky130_fd_sc_hd__dlygate4sd3_1 hold149 (.A(\mandelbrot.alu.m3[16] ), .VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_1_312 (.VPWR(VPWR), + .VPWR(VPWR), + .X(net291)); + sky130_fd_sc_hd__dlygate4sd3_1 hold150 (.A(\mandelbrot.alu.in_zr[4] ), .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_8 FILLER_0_1_324 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_1_332 (.VGND(VGND), + .VPWR(VPWR), + .X(net292)); + sky130_fd_sc_hd__dlygate4sd3_1 hold151 (.A(\mandelbrot.ctr[6] ), + .VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_2_3 (.VPWR(VPWR), + .VPWR(VPWR), + .X(net293)); + sky130_fd_sc_hd__dlygate4sd3_1 hold152 (.A(\mandelbrot.alu.m1[10] ), .VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_2_15 (.VPWR(VPWR), + .VPWR(VPWR), + .X(net294)); + sky130_fd_sc_hd__dlygate4sd3_1 hold153 (.A(\mandelbrot.alu.in_zr[15] ), .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__fill_1 FILLER_0_2_27 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_2_29 (.VPWR(VPWR), + .VPWR(VPWR), + .X(net295)); + sky130_fd_sc_hd__dlygate4sd3_1 hold154 (.A(\mandelbrot.alu.m3[21] ), .VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_2_41 (.VPWR(VPWR), + .VPWR(VPWR), + .X(net296)); + sky130_fd_sc_hd__dlygate4sd3_1 hold155 (.A(\mandelbrot.ctr[2] ), .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_8 FILLER_0_2_53 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_2_61 (.VGND(VGND), + .VPWR(VPWR), + .X(net297)); + sky130_fd_sc_hd__dlygate4sd3_1 hold156 (.A(\mandelbrot.alu.m3[17] ), + .VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_2_92 (.VGND(VGND), + .VPWR(VPWR), + .X(net298)); + sky130_fd_sc_hd__dlygate4sd3_1 hold157 (.A(\mandelbrot.scaling[2] ), + .VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_2_128 (.VGND(VGND), + .VPWR(VPWR), + .X(net299)); + sky130_fd_sc_hd__dlygate4sd3_1 hold158 (.A(\mandelbrot.alu.in_ci[3] ), + .VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_2_152 (.VGND(VGND), + .VPWR(VPWR), + .X(net300)); + sky130_fd_sc_hd__dlygate4sd3_1 hold159 (.A(\mandelbrot.alu.in_ci[2] ), + .VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_2_194 (.VGND(VGND), + .VPWR(VPWR), + .X(net301)); + sky130_fd_sc_hd__dlygate4sd3_1 hold160 (.A(\mandelbrot.x[6] ), + .VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_2_197 (.VGND(VGND), + .VPWR(VPWR), + .X(net302)); + sky130_fd_sc_hd__dlygate4sd3_1 hold161 (.A(\mandelbrot.scaling[3] ), + .VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_2_297 (.VGND(VGND), + .VPWR(VPWR), + .X(net303)); + sky130_fd_sc_hd__dlygate4sd3_1 hold162 (.A(\mandelbrot.alu.m3[18] ), + .VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_2_305 (.VGND(VGND), + .VPWR(VPWR), + .X(net304)); + sky130_fd_sc_hd__dlygate4sd3_1 hold163 (.A(\mandelbrot.y[0] ), + .VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_2_309 (.VPWR(VPWR), + .VPWR(VPWR), + .X(net305)); + sky130_fd_sc_hd__dlygate4sd3_1 hold164 (.A(\mandelbrot.alu.m1[11] ), .VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_2_321 (.VPWR(VPWR), + .VPWR(VPWR), + .X(net306)); + sky130_fd_sc_hd__dlygate4sd3_1 hold165 (.A(\mandelbrot.scaling[1] ), .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__fill_2 FILLER_0_2_333 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_3_3 (.VPWR(VPWR), + .VPWR(VPWR), + .X(net307)); + sky130_fd_sc_hd__dlygate4sd3_1 hold166 (.A(\mandelbrot.alu.in_ci[14] ), .VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_3_15 (.VPWR(VPWR), + .VPWR(VPWR), + .X(net308)); + sky130_fd_sc_hd__dlygate4sd3_1 hold167 (.A(\mandelbrot.alu.mult_zr_zr.shift_reg[0] ), .VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_3_27 (.VPWR(VPWR), + .VPWR(VPWR), + .X(net309)); + sky130_fd_sc_hd__dlygate4sd3_1 hold168 (.A(\mandelbrot.alu.m1[6] ), .VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_3_39 (.VPWR(VPWR), + .VPWR(VPWR), + .X(net310)); + sky130_fd_sc_hd__dlygate4sd3_1 hold169 (.A(\mandelbrot.alu.m3[15] ), .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_4 FILLER_0_3_51 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_3_55 (.VGND(VGND), + .VPWR(VPWR), + .X(net311)); + sky130_fd_sc_hd__dlygate4sd3_1 hold170 (.A(\mandelbrot.cr_offset[3] ), + .VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_3_57 (.VGND(VGND), + .VPWR(VPWR), + .X(net312)); + sky130_fd_sc_hd__dlygate4sd3_1 hold171 (.A(\mandelbrot.cr_offset[4] ), + .VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_3_61 (.VGND(VGND), + .VPWR(VPWR), + .X(net313)); + sky130_fd_sc_hd__dlygate4sd3_1 hold172 (.A(\state[0] ), + .VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_3_110 (.VGND(VGND), + .VPWR(VPWR), + .X(net314)); + sky130_fd_sc_hd__dlygate4sd3_1 hold173 (.A(\mandelbrot.alu.m3[15] ), + .VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_3_150 (.VGND(VGND), + .VPWR(VPWR), + .X(net315)); + sky130_fd_sc_hd__dlygate4sd3_1 hold174 (.A(\mandelbrot.alu.mult_zr_zi.ctr[2] ), + .VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_3_166 (.VGND(VGND), + .VPWR(VPWR), + .X(net316)); + sky130_fd_sc_hd__dlygate4sd3_1 hold175 (.A(\mandelbrot.alu.m1[11] ), + .VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_3_187 (.VGND(VGND), + .VPWR(VPWR), + .X(net317)); + sky130_fd_sc_hd__diode_2 ANTENNA_1 (.DIODE(_1392_), + .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_3_214 (.VGND(VGND), + sky130_fd_sc_hd__diode_2 ANTENNA_2 (.DIODE(_1392_), + .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_3_225 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_0_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_0_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_0_27 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_3_260 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_0_29 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_3_297 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_0_41 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_3_309 (.VPWR(VPWR), + sky130_fd_sc_hd__decap_3 FILLER_0_0_53 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_0_57 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_3_321 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_0_69 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__fill_2 FILLER_0_3_333 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_0_81 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_4_3 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_0_85 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_4_15 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_0_97 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__fill_1 FILLER_0_4_27 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_0_109 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_4_29 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_0_113 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_4_41 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_0_125 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__decap_4 FILLER_0_4_53 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_0_137 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_4_64 (.VGND(VGND), - .VNB(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_0_141 (.VPWR(VPWR), + .VGND(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_4_79 (.VGND(VGND), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_0_153 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_4_83 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_0_158 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_4_85 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_0_166 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_4_101 (.VGND(VGND), - .VNB(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_0_169 (.VPWR(VPWR), + .VGND(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_4_126 (.VGND(VGND), - .VNB(VGND), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_0_181 (.VPWR(VPWR), + .VGND(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_4_132 (.VGND(VGND), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_0_193 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_4_139 (.VGND(VGND), - .VNB(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_0_197 (.VPWR(VPWR), + .VGND(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_4_157 (.VGND(VGND), - .VNB(VGND), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_0_209 (.VPWR(VPWR), + .VGND(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_4_180 (.VGND(VGND), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_0_221 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_4_195 (.VGND(VGND), - .VNB(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_0_225 (.VPWR(VPWR), + .VGND(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_4_250 (.VGND(VGND), - .VNB(VGND), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_0_237 (.VPWR(VPWR), + .VGND(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_4_266 (.VGND(VGND), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_0_249 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_4_286 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_0_253 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__decap_8 FILLER_0_4_298 (.VGND(VGND), - .VNB(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_0_265 (.VPWR(VPWR), + .VGND(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_4_306 (.VGND(VGND), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_0_277 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_4_309 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_0_281 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_4_321 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_0_293 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__fill_2 FILLER_0_4_333 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_5_3 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_0_305 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_5_9 (.VGND(VGND), - .VNB(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_0_309 (.VPWR(VPWR), + .VGND(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_5_17 (.VGND(VGND), - .VNB(VGND), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_0_321 (.VPWR(VPWR), + .VGND(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_5_25 (.VGND(VGND), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_0_333 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_5_36 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_1_3 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__decap_8 FILLER_0_5_48 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_5_57 (.VGND(VGND), - .VNB(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_1_15 (.VPWR(VPWR), + .VGND(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_5_63 (.VGND(VGND), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_1_27 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_5_71 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_1_31 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_5_79 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_1_57 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_5_85 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_1_65 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_5_89 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_1_97 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__decap_8 FILLER_0_5_101 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_1_109 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_5_109 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_1_133 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_5_113 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_1_142 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_5_117 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_1_169 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_4 FILLER_0_5_129 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_1_187 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_5_142 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_1_193 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_5_148 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_1_197 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_5_166 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_1_207 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_5_169 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_1_223 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_5_177 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_1_225 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_5_197 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_1_238 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_5_217 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_1_247 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_5_223 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_1_251 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_5_234 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_1_262 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_5_242 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_1_271 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_5_250 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_1_279 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_5_262 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_1_304 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__decap_6 FILLER_0_5_274 (.VGND(VGND), - .VNB(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_1_316 (.VPWR(VPWR), + .VGND(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_5_281 (.VGND(VGND), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_1_328 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_5_288 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_1_334 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_5_309 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_2_3 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_5_321 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_2_15 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__fill_2 FILLER_0_5_333 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_6_3 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_6_9 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_2_27 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_6_24 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_2_29 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_6_56 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_2_83 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_6_66 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_3 FILLER_0_6_78 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_2_92 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_6_90 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_2_99 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_6_99 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_2_144 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_6_105 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_2_172 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_6_119 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_2_186 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_6_125 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_2_251 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_6_139 (.VGND(VGND), - .VNB(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_2_291 (.VPWR(VPWR), + .VGND(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_6_141 (.VGND(VGND), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_2_303 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_6_147 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_2_307 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_6_158 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_2_309 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_6_170 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_2_321 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__fill_1 FILLER_0_6_195 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_2_333 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_6_197 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_3_3 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__decap_8 FILLER_0_6_209 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_6_217 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_6_230 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_6_244 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_3_15 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_6_253 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_3_46 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_6_264 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_3_65 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_6_268 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_3_73 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_6_309 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_3_79 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_6_323 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_4 FILLER_0_7_24 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_3_132 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_7_28 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_3_148 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_7_32 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_3_166 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_7_40 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_3_169 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_7_57 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_3_183 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_7_74 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_3_222 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_7_104 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_3_232 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_7_108 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_3_240 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_7_123 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_3_247 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_7_143 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_3_265 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_7_151 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_3_279 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_7_165 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_3_281 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_7_193 (.VGND(VGND), - .VNB(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_3_312 (.VPWR(VPWR), + .VGND(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_7_201 (.VGND(VGND), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_3_324 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_7_217 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_3_332 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_7_223 (.VGND(VGND), - .VNB(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_4_3 (.VPWR(VPWR), + .VGND(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_7_225 (.VGND(VGND), - .VNB(VGND), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_4_15 (.VPWR(VPWR), + .VGND(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_7_242 (.VGND(VGND), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_4_27 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_7_254 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_4_29 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_7_270 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_4_40 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_7_286 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_4_54 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_7_334 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_4_78 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_8_3 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_4_85 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_8_7 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_4_94 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_8_17 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_4_106 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_8_29 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_4_114 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_8_40 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_4_118 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_8_44 (.VGND(VGND), - .VNB(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_4_141 (.VPWR(VPWR), + .VGND(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_8_52 (.VGND(VGND), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_4_153 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_8_60 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_4_164 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_8_67 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_4_178 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_8_79 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_4_182 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_8_83 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_4_192 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_8_85 (.VGND(VGND), - .VNB(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_4_197 (.VPWR(VPWR), + .VGND(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_8_92 (.VGND(VGND), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_4_226 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_8_96 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_4_235 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_8_138 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_4_248 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_8_141 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_4_253 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_8_147 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_4_262 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_8_160 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_4_275 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_8_170 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_4_283 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_8_179 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_4_300 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_8_184 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_4_309 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__decap_6 FILLER_0_8_197 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_8_203 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_8_217 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_4_321 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__decap_8 FILLER_0_8_229 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_8_251 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_8_268 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_4_333 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_8_277 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_5_3 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__decap_8 FILLER_0_8_289 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_8_297 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_5_15 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_8_306 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_5_23 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_8_322 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__fill_1 FILLER_0_8_334 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_5_46 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_9_3 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_5_52 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_9_16 (.VGND(VGND), - .VNB(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_5_64 (.VPWR(VPWR), + .VGND(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_9_25 (.VGND(VGND), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_5_76 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_9_52 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_5_87 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_9_57 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_5_102 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_9_65 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_5_110 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_9_83 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_5_122 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_9_89 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_5_136 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_9_100 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_5_150 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__decap_8 FILLER_0_9_119 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_5_162 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_9_132 (.VGND(VGND), - .VNB(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_5_181 (.VPWR(VPWR), + .VGND(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_9_136 (.VGND(VGND), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_5_193 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_9_142 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_5_206 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_9_156 (.VGND(VGND), - .VNB(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_5_248 (.VPWR(VPWR), + .VGND(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_9_167 (.VGND(VGND), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_5_263 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_9_169 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_5_270 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_9_173 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_5_274 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_9_182 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_5_320 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__decap_4 FILLER_0_9_194 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_5_332 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_9_198 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_9_214 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_9_222 (.VGND(VGND), - .VNB(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_6_3 (.VPWR(VPWR), + .VGND(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_9_232 (.VGND(VGND), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_6_15 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_9_250 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_6_83 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_9_269 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_6_85 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_9_278 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_6_99 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_9_285 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_6_124 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_9_295 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_6_132 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_9_308 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_6_147 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_9_320 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_6_159 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__decap_3 FILLER_0_9_332 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_6_171 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_10_3 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_6_179 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_10_9 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_6 FILLER_0_10_21 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_6_192 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_10_27 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_6_202 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_10_29 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_6_218 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_10_34 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_6_235 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_10_38 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__fill_1 FILLER_0_10_50 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_6_245 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_10_66 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_8 FILLER_0_10_95 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_6_251 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_10_103 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_6_253 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_10_111 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_3 FILLER_0_10_123 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_6_288 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_10_132 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_6_298 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_10_150 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_6_305 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_10_172 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_6_309 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__decap_4 FILLER_0_10_184 (.VGND(VGND), - .VNB(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_6_321 (.VPWR(VPWR), + .VGND(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_10_195 (.VGND(VGND), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_6_333 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_10_209 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_7_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_7_15 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_10_224 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_7_34 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_10_228 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_7_55 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_10_232 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_7_97 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__decap_8 FILLER_0_10_244 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_10_253 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_7_109 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_10_260 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_8 FILLER_0_10_325 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_7_120 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_10_333 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_7_124 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_11_20 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_11_32 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__fill_2 FILLER_0_11_44 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_7_130 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_11_65 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_7_146 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_11_71 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_7_155 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_11_79 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_7_162 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_11_97 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_7_215 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_11_104 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_7_223 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_11_120 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_7_225 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_11_142 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_7_235 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_11_164 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_7_241 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_11_169 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_7_248 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_11_175 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_7_274 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_11_179 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_7_286 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_11_187 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_7_290 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_11_192 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_7_300 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_11_204 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_7_312 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__decap_4 FILLER_0_11_216 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_7_324 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_11_225 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_7_332 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_11_242 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_8_3 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_11_252 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_8_11 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_11_276 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_8_49 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_11_292 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_8_65 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_11_297 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_8_88 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_11_301 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_8_101 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_11_306 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_8_117 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_11_328 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_8_148 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_11_334 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_8_163 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_8_193 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_8_197 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_8_209 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_12_3 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_8_228 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_12_27 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_8_249 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_12_29 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_8_276 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_12_37 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_8_291 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_12_70 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_8_305 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_12_83 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_8_309 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_8_321 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_8_333 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_12_115 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_9_3 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_12_119 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_9_36 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_12_138 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_9_54 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_12_141 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_9_65 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_12_146 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_9_73 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_12_171 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_9_83 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_12_193 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_9_93 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_12_216 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_9_105 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_12_227 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_9_113 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_12_236 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_9_121 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_12_245 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_9_149 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_12_258 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_9_165 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_12_280 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_9_169 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_12_286 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_9_173 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_12_307 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_9_181 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_12_309 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_9_189 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_12_317 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_9_197 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_12_332 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_9_225 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_13_3 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_9_250 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_13_16 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_9_264 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_13_32 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_9_274 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_13_55 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_9_281 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_13_57 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_9_294 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_13_84 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_9_326 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_13_95 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_9_334 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_13_104 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_10_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_10_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_10_27 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_13_113 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_10_37 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_10_49 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_10_61 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__decap_8 FILLER_0_13_125 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_10_73 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_13_139 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_10_81 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_13_145 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_10_85 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_13_159 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_10_94 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_13_189 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_10_98 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_13_193 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_10_106 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_13_225 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_10_114 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_13_256 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_10_133 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_13_274 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_10_139 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_13_281 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_10_141 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__decap_8 FILLER_0_13_298 (.VGND(VGND), - .VNB(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_10_153 (.VPWR(VPWR), + .VGND(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_13_306 (.VGND(VGND), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_10_165 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_13_320 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_3 FILLER_0_13_332 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_10_178 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_14_3 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_10_188 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_14_23 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_10_229 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_14_27 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_10_242 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_14_32 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_10_250 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_14_41 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_10_260 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__fill_2 FILLER_0_14_53 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_10_272 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_14_64 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_10_319 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_10_331 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_14_72 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_11_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_11_15 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_14_81 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_11_35 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_14_90 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_11_57 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_14_99 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_11_65 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_14_112 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__fill_1 FILLER_0_14_124 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_11_76 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_14_131 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_11_83 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_14_139 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_11_98 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_14_160 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_11_105 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_14_173 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_11_111 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_14_183 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_11_122 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_11_134 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__fill_1 FILLER_0_14_195 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_11_146 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_11_158 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_14_197 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_11_222 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_14_218 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_11_232 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_14_229 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_11_238 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_14_234 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_11_260 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_14_242 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_11_275 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_14_257 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_11_279 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_14_270 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_11_284 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_11_296 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_14_276 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_11_326 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_14_283 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_11_334 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_14_301 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_12_3 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_14_309 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_12_9 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_14_325 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_12_26 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_14_333 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_12_38 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_15_3 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_12_64 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_15_11 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_12_79 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_15_20 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_12_83 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_15_53 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_12_85 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_15_57 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_12_100 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_12_112 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__decap_6 FILLER_0_15_69 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_12_124 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_15_75 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_12_132 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_15_80 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_12_186 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_15_88 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_12_205 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_15_110 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_12_232 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_15_113 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_12_236 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_15_128 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_12_241 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_15_132 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_12_246 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_15_147 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_12_292 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__decap_8 FILLER_0_15_159 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_15_167 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_12_304 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_15_169 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_12_309 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__decap_4 FILLER_0_15_181 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_15_185 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_15_193 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_12_321 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__fill_1 FILLER_0_15_205 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_12_333 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_15_209 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_13_3 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__decap_3 FILLER_0_15_221 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_13_39 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_15_225 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_15_237 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_15_249 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_13_55 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_15_261 (.VPWR(VPWR), - .VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_13_113 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__fill_1 FILLER_0_15_273 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_13_167 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_15_278 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_13_209 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_15_286 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_13_220 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_15_305 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_13_225 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_15_334 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_13_249 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_16_3 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_13_258 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__decap_8 FILLER_0_16_15 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_13_270 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_16_23 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_13_278 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_16_27 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_13_281 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_16_29 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_13_285 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_16_34 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_13_298 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_16_42 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_13_305 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_16_54 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_13_313 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_13_325 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_16_63 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_13_333 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_16_70 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_14_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_14_15 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_16_74 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_14_27 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_16_82 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_14_45 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_14_57 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_16_85 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_14_61 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_16_116 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_14_69 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_16_136 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_14_73 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_16_141 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_16_153 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_14_81 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_8 FILLER_0_16_176 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_14_85 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_16_195 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_14_95 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_16_207 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_14_103 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_16_213 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_14_115 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_16_232 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_14_137 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_16_247 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_14_141 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_16_251 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_14_148 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_16_253 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_14_169 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_16_261 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_14_182 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_16_267 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_14_197 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_16_273 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_14_249 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_16_281 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_14_253 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_16_320 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_14_265 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_16_334 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_14_283 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_17_3 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_14_332 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_17_34 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_15_3 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_17_40 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_15_11 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_17_57 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_15_36 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_17_75 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_15_40 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_17_129 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_15_50 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_17_141 (.VPWR(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_15_86 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__fill_1 FILLER_0_17_153 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_15_98 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_17_166 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_15_113 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_17_180 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_15_124 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_17_196 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_17_208 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_4 FILLER_0_17_220 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_15_143 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_17_225 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_4 FILLER_0_17_237 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_15_151 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_17_245 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_17_257 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_15_155 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__decap_8 FILLER_0_17_269 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_15_167 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_17_281 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_4 FILLER_0_17_293 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_15_169 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_17_297 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_15_176 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_17_305 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_15_184 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_17_313 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_15_189 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_18_3 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_15_218 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_18_19 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_15_225 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_18_34 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_15_287 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_18_63 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_15_298 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_18_101 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_15_304 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_18_109 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_15_308 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_18_122 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_15_314 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__fill_1 FILLER_0_18_154 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_15_326 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_15_334 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_18_171 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_16_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_16_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_16_27 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_18_183 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_16_29 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_18_191 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_16_33 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_18_195 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_16_55 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_18_197 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_16_77 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_18_203 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_16_85 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_18_211 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_16_104 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_16_116 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_18_223 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_16_128 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__decap_3 FILLER_0_18_235 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_16_141 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_18_248 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_16_171 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_18_253 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_16_177 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_18_262 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__fill_1 FILLER_0_18_282 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_16_186 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_18_295 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_16_194 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_18_307 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_16_226 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_18_314 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__fill_1 FILLER_0_18_326 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_16_251 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_18_332 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_16_264 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_19_3 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_16_287 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_16_299 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_19_9 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_16_332 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_19_16 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_17_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_17_15 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_19_24 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_17_27 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_19_30 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_17_40 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_19_46 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_17_54 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_19_55 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_17_90 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_19_57 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_17_104 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_19_66 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_19_78 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_19_90 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_8 FILLER_0_19_102 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_17_113 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_19_110 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_17_121 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_19_113 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_17_167 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_19_119 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_17_169 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_19_125 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_17_173 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_19_223 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_17_220 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_19_225 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_17_239 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__decap_4 FILLER_0_19_237 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_17_251 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_19_246 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_17_259 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_17_271 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_19_266 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_17_286 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_19_304 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_17_297 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__decap_3 FILLER_0_19_316 (.VGND(VGND), - .VNB(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_17_314 (.VPWR(VPWR), + .VGND(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_19_324 (.VGND(VGND), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_17_326 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_19_332 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_17_334 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_20_3 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_18_3 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_20_10 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_18_27 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_20_21 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_18_55 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_18_67 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_18_79 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_20_26 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_18_93 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_20_39 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_18_138 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_20_46 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_18_188 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_20_54 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_18_197 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_20_65 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_18_201 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_20_75 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_18_222 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_20_83 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_18_239 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_20_90 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_18_251 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_20_104 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_18_253 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_20_112 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_18_287 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_20_137 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_18_320 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_18_332 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_20_141 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_19_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_19_15 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_20_163 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_19_34 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_20_174 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_19_66 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_20_180 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_19_70 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_20_210 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_19_91 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_20_248 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_19_103 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_20_253 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_19_190 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__decap_8 FILLER_0_20_265 (.VGND(VGND), - .VNB(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_19_202 (.VPWR(VPWR), + .VGND(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_20_289 (.VGND(VGND), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_19_214 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_20_293 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_19_218 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_20_306 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_19_279 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_20_316 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_19_287 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_21_3 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_19_296 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_21_17 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_19_321 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_19_333 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_21_55 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_20_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_20_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_20_27 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_21_66 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_20_29 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_21_96 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_20_33 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_21_105 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_20_70 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_21_113 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_20_82 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_21_129 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_21_141 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_21_153 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_3 FILLER_0_21_165 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_20_85 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_21_169 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_20_96 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_21_183 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__fill_1 FILLER_0_21_195 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_20_113 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_21_202 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_20_130 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_21_210 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_20_138 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_21_219 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_20_141 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_21_223 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_20_150 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_21_231 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_21_243 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_21_255 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_20_172 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_21_267 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_20_184 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__fill_1 FILLER_0_21_279 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_20_197 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_21_281 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_20_218 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_21_288 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_20_228 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_21_296 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_20_243 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_21_301 (.VGND(VGND), - .VNB(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_20_279 (.VPWR(VPWR), + .VGND(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_21_305 (.VGND(VGND), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_20_291 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_21_334 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_20_299 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_22_3 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_20_305 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_22_19 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_20_309 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_22_27 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_20_313 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_22_63 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_21_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_21_15 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_22_82 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_21_23 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_22_89 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_21_34 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_21_46 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_22_113 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_21_54 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_22_137 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_21_65 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_22_141 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_22_153 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_22_165 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_21_77 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_22_177 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_21_89 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__decap_6 FILLER_0_22_189 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_21_101 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_22_195 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_21_109 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_22_197 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_4 FILLER_0_22_209 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_21_113 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_22_218 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_21_121 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_22_230 (.VPWR(VPWR), - .VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_21_127 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_8 FILLER_0_22_242 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_21_146 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_22_250 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_21_190 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_22_253 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_21_200 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_22_262 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_21_207 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_3 FILLER_0_22_274 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_21_223 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_22_280 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_21_225 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__decap_3 FILLER_0_22_292 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_21_237 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_22_307 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_21_243 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_22_309 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_21_251 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_22_330 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_21_257 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_22_334 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_21_265 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_23_3 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_23_15 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_23_27 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_4 FILLER_0_23_39 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_21_275 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_23_47 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_21_279 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_23_55 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_21_281 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_23_57 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_23_69 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_23_81 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_21_287 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_23_93 (.VPWR(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_21_294 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__decap_6 FILLER_0_23_122 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_21_306 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_23_144 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_21_331 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_23_152 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_22_3 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_23_160 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_22_11 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_23_169 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_22_45 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_23_179 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_22_51 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_22_81 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_23_183 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_22_85 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_23_187 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_22_91 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_23_198 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_22_113 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_23_223 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_22_139 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_23_225 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_22_141 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_23_233 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_22_178 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_23_247 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_22_182 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_23_270 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_22_190 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_23_276 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_22_211 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_23_281 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_22_241 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_23_285 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_22_249 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_23_303 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_22_253 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__decap_4 FILLER_0_23_315 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_22_265 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_23_319 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_22_273 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_23_333 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_22_297 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_24_3 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_22_316 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_24_11 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_22_327 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_24_17 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_23_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_23_15 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_24_25 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_23_62 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_24_29 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_23_66 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_24_43 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_23_83 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_24_61 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_8 FILLER_0_24_73 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_23_94 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_24_81 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_23_105 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_24_85 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_3 FILLER_0_24_97 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_23_111 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_24_110 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_23_156 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__decap_4 FILLER_0_24_122 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_23_177 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_24_126 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_23_193 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_24_141 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_23_216 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_24_147 (.VGND(VGND), - .VNB(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_23_225 (.VPWR(VPWR), + .VGND(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_24_161 (.VGND(VGND), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_23_237 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_24_171 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_23_244 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_24_190 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_23_248 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_24_197 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_23_254 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_24_205 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_23_261 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_24_213 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_23_273 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_24_226 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_23_279 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_24_246 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_23_288 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_24_253 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_24_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_24_15 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_24_259 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_24_25 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_24_271 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_24_60 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_24_290 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_24_68 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_24_302 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_24_79 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_24_309 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__fill_1 FILLER_0_24_321 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_24_83 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_24_334 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_24_90 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_25_3 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_24_98 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_25_22 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_24_102 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_25_73 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_24_110 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_25_79 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_24_139 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_25_84 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_24_164 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_25_91 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_24_172 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_25_99 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_24_179 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_25_107 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_24_183 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_25_111 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_24_193 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_25_113 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_24_197 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_25_123 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_24_206 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_25_131 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_24_230 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_25_141 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_24_245 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_25_159 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_24_271 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_25_167 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_24_299 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_25_169 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_24_307 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_25_197 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_24_314 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_25_222 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_24_325 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_25_234 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_25_3 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_25_246 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_8 FILLER_0_25_258 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_25_15 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_25_266 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_25_23 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_25_277 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_25_42 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_25_296 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_25_55 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_25_304 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_25_65 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_25_315 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_25_75 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_25_321 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_25_99 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_26_3 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_25_108 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_26_41 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_25_113 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_26_81 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_25_124 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_26_106 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_25_167 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_26_121 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_25_181 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_25_193 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_25_205 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_25_217 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_26_135 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_25_223 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_26_141 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_25_225 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_26_157 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_25_261 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_26_179 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_25_278 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_26_193 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_25_281 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_26_222 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_26_234 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_6 FILLER_0_26_246 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_25_296 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_26_253 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_25_304 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_26_264 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_25_312 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_26_272 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_25_331 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_26_299 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_26_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_26_15 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_26_316 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_26_62 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_26_330 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_26_71 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_26_334 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_26_81 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_27_27 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_26_117 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_27_33 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_8 FILLER_0_27_45 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_26_159 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_27_53 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_26_190 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_27_57 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_26_222 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_27_61 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_26_253 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_27_68 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_8 FILLER_0_27_80 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_26_264 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_27_88 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_26_287 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_27_105 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_26_295 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_27_111 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_26_315 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_27_116 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_26_321 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_26_333 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_27_130 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_27_3 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__decap_3 FILLER_0_27_142 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_27_15 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_27_149 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_27_52 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_27_163 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_27_57 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_27_167 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_27_81 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_27_169 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_27_85 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_27_180 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_4 FILLER_0_27_192 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_27_90 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_27_211 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__fill_1 FILLER_0_27_223 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_27_109 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_27_229 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_4 FILLER_0_27_241 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_27_113 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_27_245 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_27_152 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_27_267 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_27_161 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_27_277 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_27_169 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_27_297 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_27_222 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_27_321 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_27_225 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_28_3 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_27_233 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_28_15 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_27_238 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__fill_1 FILLER_0_28_27 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_27_250 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_27_262 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_28_29 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_27_309 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_28_33 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_27_331 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_28_38 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_28_3 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_28_46 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_28_11 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_28_57 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_28_63 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_28_69 (.VPWR(VPWR), + sky130_fd_sc_hd__decap_4 FILLER_0_28_80 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_28_85 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__decap_3 FILLER_0_28_81 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_28_100 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_28_85 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_28_106 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_28_91 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_28_116 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_28_122 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_28_132 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_28_132 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_28_166 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_28_141 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_8 FILLER_0_28_159 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_28_170 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_28_167 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_28_178 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_28_175 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_28_191 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_28_189 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_28_195 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_28_195 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_28_219 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_28_200 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_28_228 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_28_205 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_28_247 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_3 FILLER_0_28_217 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_28_251 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_28_241 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_28_274 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_28_250 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_28_282 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_28_269 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_28_292 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_28_300 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_28_306 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_28_309 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_28_309 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); + .VPWR(VPWR)); sky130_fd_sc_hd__fill_1 FILLER_0_28_334 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_29_10 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_29_3 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_8 FILLER_0_29_22 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_29_11 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_29_28 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_29_30 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_29_54 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_29_37 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_29_71 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_29_44 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_29_90 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_29_53 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_29_101 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_29_57 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_29_109 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_29_79 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_29_122 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_29_85 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_29_133 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_29_97 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_29_151 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_29_137 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_29_166 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_29_163 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_29_179 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_29_191 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_29_169 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_29_199 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_29_190 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_29_206 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_29_205 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_29_214 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_29_211 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_29_220 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_29_216 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_29_250 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_29_225 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_29_254 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_29_231 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_29_259 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__decap_4 FILLER_0_29_243 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_29_271 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_29_262 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_29_279 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_29_266 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_29_281 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_29_301 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_30_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_30_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_30_27 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_29_297 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_30_45 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_29_309 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_30_52 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_29_318 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_30_68 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_29_322 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_30_72 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_29_328 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_30_80 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_29_334 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_30_90 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_30_3 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_30_104 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_30_15 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_30_112 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_30_29 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_30_122 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_30_76 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_30_138 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_30_104 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_30_141 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__decap_3 FILLER_0_30_116 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_30_153 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_30_134 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_30_187 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_30_141 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_30_197 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_30_156 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_30_210 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_30_197 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_30_226 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_30_206 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_30_234 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_30_224 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_30_242 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_30_230 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_8 FILLER_0_30_242 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_30_271 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_30_250 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_30_279 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_30_253 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_30_292 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_30_261 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_30_296 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_30_305 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_30_306 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_30_309 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_30_312 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_30_324 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_30_313 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_30_332 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_30_322 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_31_3 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__fill_1 FILLER_0_30_334 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_31_15 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_31_10 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_31_25 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_31_54 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_31_97 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_31_57 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_31_101 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_31_63 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_31_109 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_31_77 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_31_118 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_31_99 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_31_165 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__fill_1 FILLER_0_31_111 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_31_169 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_31_201 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_31_113 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_31_232 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_31_244 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_31_120 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_31_250 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_31_124 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_31_259 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_31_130 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_31_268 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__fill_1 FILLER_0_31_142 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_31_281 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_31_294 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_31_151 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_31_322 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_31_157 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_31_328 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_31_161 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_31_334 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_31_167 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_32_3 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_31_169 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_32_25 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_31_186 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_32_29 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_31_240 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_32_46 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_31_262 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_32_93 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_31_287 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_32_102 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_31_309 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_32_118 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_31_333 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_32_126 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_32_3 (.VPWR(VPWR), + sky130_fd_sc_hd__fill_1 FILLER_0_32_139 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_32_165 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_32_177 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__decap_4 FILLER_0_32_15 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_32_189 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_32_32 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_32_231 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__decap_6 FILLER_0_32_44 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_32_243 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_32_50 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_32_251 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_32_61 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_32_253 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_32_75 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_32_259 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_32_83 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_32_276 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_32_85 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_32_291 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_32_303 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_32_93 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_32_307 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_32_141 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_33_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_33_15 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__fill_1 FILLER_0_32_174 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_33_27 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_32_181 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_33_35 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_32_191 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_33_39 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_33_51 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_32_197 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_33_55 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_32_246 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_33_66 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_33_78 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_33_90 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_32_253 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_33_100 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_32_260 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_33_132 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_32_268 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_33_143 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_32_273 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_33_165 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_32_283 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_4 FILLER_0_32_295 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_33_169 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_32_299 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_33_177 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_32_303 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_33_184 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_32_307 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_33_194 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_32_309 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_33_201 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_32_322 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_33_209 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__fill_1 FILLER_0_32_334 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_33_232 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_33_10 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_33_248 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_33_15 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_33_257 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_33_28 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_33_275 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_33_62 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_33_279 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_33_69 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_33_287 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_33_89 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_33_292 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_33_110 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_33_296 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_33_113 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_33_306 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_33_333 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_34_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_34_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_34_27 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_33_123 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_34_37 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_33_149 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_34_48 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_33_153 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_34_55 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_33_166 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_34_69 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_34_81 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_33_175 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_34_85 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_33_198 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_34_119 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_33_211 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_34_138 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_33_221 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_34_141 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_33_235 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_34_161 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_33_249 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_34_194 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_33_268 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_34_197 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_33_298 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_34_203 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_33_310 (.VPWR(VPWR), - .VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_34_207 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_33_322 (.VPWR(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_34_217 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__fill_1 FILLER_0_33_334 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_34_229 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_34_3 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_34_235 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_34_24 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_34_259 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_34_29 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_34_284 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_34_39 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_34_303 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_34_47 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_34_307 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_34_55 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_34_318 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_34_61 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_34_334 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_34_66 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_35_3 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_34_85 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_35_11 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_34_111 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_35_30 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_6 FILLER_0_34_123 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_35_55 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_34_154 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_35_65 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_34_171 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_35_77 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_34_194 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_35_91 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_35_103 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_34_207 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_35_107 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_34_215 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_35_113 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_34_227 (.VPWR(VPWR), - .VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_35_120 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__fill_1 FILLER_0_34_239 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_35_133 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_34_250 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_35_180 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_34_273 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_35_184 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_34_309 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_35_199 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_34_321 (.VPWR(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_35_211 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__fill_2 FILLER_0_34_333 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_35_223 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_35_3 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_35_225 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_35_21 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_35_275 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_35_29 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_35_279 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_35_38 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_35_303 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_35_315 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_35_327 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_35_48 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_36_3 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_35_71 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_36_27 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_35_79 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_36_63 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_35_84 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_36_93 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_35_97 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_36_115 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_35_111 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_36_123 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_35_113 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_36_149 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_35_122 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_36_157 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_35_130 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_36_179 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_36_191 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_35_165 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_36_197 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_35_169 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_36_217 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_35_177 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_36_223 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_35_184 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_36_235 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_35_215 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_36_249 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_35_229 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_36_253 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_35_245 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_36_302 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_35_267 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_36_332 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_35_292 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_37_3 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_35_296 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_37_11 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_35_301 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_37_40 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_35_307 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_37_65 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_35_327 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_37_82 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_36_3 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_37_146 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_36_9 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_37_150 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_6 FILLER_0_36_21 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_37_159 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_36_27 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_37_166 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_36_32 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_37_180 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_36_41 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_37_184 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_36_51 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_37_193 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_36_82 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_37_215 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_36_92 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_37_225 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_36_98 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_37_239 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_37_264 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_37_276 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_36_112 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_37_294 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_36_126 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_37_302 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_36_132 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_38_3 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_36_148 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_38_23 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_36_152 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_38_45 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_36_166 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_38_83 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_36_175 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_38_98 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__decap_8 FILLER_0_36_187 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_38_110 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_36_195 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_38_118 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_36_197 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_38_139 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_36_210 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_38_200 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_36_236 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_38_212 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_4 FILLER_0_36_248 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_38_219 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_36_271 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_38_225 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_36_283 (.VPWR(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_38_232 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__decap_4 FILLER_0_36_295 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_38_244 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_36_299 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_38_253 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_36_307 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_38_261 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_36_309 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_38_269 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_36_320 (.VGND(VGND), - .VNB(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_38_277 (.VPWR(VPWR), + .VGND(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_36_333 (.VGND(VGND), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_38_289 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_37_16 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_38_298 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_37_28 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_38_302 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_37_34 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_39_3 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__decap_8 FILLER_0_37_46 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_39_15 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_37_54 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_39_25 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_37_76 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_39_33 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_37_84 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_37_96 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_4 FILLER_0_37_108 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_39_60 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_37_113 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_39_77 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_37_138 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_39_81 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_37_146 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_39_105 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_37_150 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_39_111 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_37_187 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_39_113 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__fill_1 FILLER_0_37_199 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_39_125 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_37_203 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_8 FILLER_0_37_215 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_39_149 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_37_223 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_39_166 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_37_225 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_39_169 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_37_230 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_39_173 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_37_241 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_6 FILLER_0_37_253 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_39_180 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_37_259 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_39_196 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_37_267 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_39_208 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_37_273 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_39_223 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_37_291 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_39_239 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_39_263 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_37_295 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_39_269 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_37_310 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_39_279 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_37_317 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_39_287 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_37_329 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_39_334 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_38_3 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_40_3 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__decap_6 FILLER_0_38_15 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_40_15 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_38_21 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_40_62 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_38_44 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_38_56 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_40_110 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_4 FILLER_0_38_68 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_40_114 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_38_72 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_40_118 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_38_98 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_40_146 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_6 FILLER_0_38_110 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_40_168 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_38_129 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_40_203 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_38_138 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_40_223 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_38_146 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_40_229 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_38_194 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_40_237 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_38_197 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_40_242 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_38_226 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_40_251 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_38_234 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_40_266 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_38_244 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_40_290 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_38_249 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_40_303 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_38_284 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_40_307 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_38_291 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_40_309 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_38_303 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_40_317 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_38_307 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_40_328 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_38_309 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_40_334 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_38_321 (.VPWR(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_41_3 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__fill_2 FILLER_0_38_333 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_41_15 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_39_3 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_41_65 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_39_57 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__fill_1 FILLER_0_39_79 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_41_84 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_39_83 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_41_128 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_39_102 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_41_157 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_39_110 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_41_205 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_39_126 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_41_215 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_39_130 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_41_223 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_39_144 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_41_225 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_39_150 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_41_248 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_39_154 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_41_261 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_39_175 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_41_266 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_39_189 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_41_278 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_39_197 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_41_281 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_39_211 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_41_285 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_39_215 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_41_289 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_39_221 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_41_303 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_39_234 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_41_314 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_39_240 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_41_320 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_39_253 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_41_328 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_39_261 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_41_334 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_39_291 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_42_3 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_39_295 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_42_11 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_4 FILLER_0_39_307 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_42_40 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_39_311 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_42_79 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_39_319 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_42_83 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_39_333 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_42_85 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_42_97 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_42_125 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_40_3 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_42_138 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_40_26 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_42_141 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_40_29 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_42_165 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_40_47 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_42_173 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_40_85 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_42_183 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_40_93 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_42_195 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_40_111 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_42_203 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_42_215 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_42_227 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_40_121 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_42_232 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_40_129 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_42_239 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_42_251 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_40_138 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_42_253 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_42_265 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_42_277 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_40_141 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_42_285 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_40_158 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_42_300 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_40_166 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_42_309 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_40_172 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_42_313 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_40_177 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_43_3 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_40_186 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_43_7 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_40_192 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_43_33 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_40_210 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_43_50 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_40_214 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_43_109 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_40_243 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_43_113 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_40_251 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_43_134 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_40_272 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_43_193 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_40_305 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_43_204 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_43_216 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_40_309 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_43_247 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_43_267 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_41_3 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_43_287 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_41_23 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_44_3 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__decap_4 FILLER_0_41_35 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_44_15 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_41_39 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_44_26 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_41_47 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_44_29 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_41_64 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_44_49 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_41_77 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_44_53 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_41_81 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_44_64 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__fill_2 FILLER_0_41_93 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_44_76 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_41_120 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_44_85 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_41_128 (.VGND(VGND), - .VNB(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_44_109 (.VPWR(VPWR), + .VGND(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_41_137 (.VGND(VGND), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_44_121 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_41_167 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_44_139 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_41_175 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_44_141 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_41_183 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_44_167 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_41_198 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_44_175 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_41_209 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_44_184 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_41_221 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_44_197 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_41_225 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_44_316 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_41_233 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_44_330 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_41_253 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_44_334 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_41_269 (.VGND(VGND), - .VNB(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_45_3 (.VPWR(VPWR), + .VGND(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_41_277 (.VGND(VGND), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_45_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_45_27 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_41_281 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_45_54 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_41_289 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_45_69 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_41_311 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_45_118 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_41_334 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_45_126 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_42_3 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_45_148 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_42_12 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_4 FILLER_0_42_24 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_45_164 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_42_29 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_45_192 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_42_37 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_45_220 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_42_55 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_45_225 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_42_63 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_45_232 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_42_70 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_45_246 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_42_82 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_45_270 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_42_85 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_45_274 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_42_93 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_45_287 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_45_299 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_42_114 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_45_305 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_42_118 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_45_311 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_42_125 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_46_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_46_15 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_42_139 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_46_23 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_42_141 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_46_29 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_42_149 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_46_45 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_42_163 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_46_62 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_42_183 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_46_85 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_42_211 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_46_110 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_42_217 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_46_131 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_42_233 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_6 FILLER_0_42_245 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_46_138 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_42_251 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_46_177 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_42_253 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_46_197 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_42_259 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_46_224 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_46_236 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_42_265 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_46_276 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_42_273 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_46_284 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_42_280 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_46_304 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_42_288 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_46_315 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_42_292 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_46_328 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_42_300 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_46_334 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_42_309 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_42_321 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_47_3 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__fill_2 FILLER_0_42_333 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_47_15 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_43_3 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_47_27 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_43_13 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_47_34 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_43_28 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_47_54 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_43_41 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_47_60 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_43_50 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_47_87 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_43_57 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_47_111 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_43_65 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_47_113 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_43_71 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_47_127 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_43_77 (.VGND(VGND), - .VNB(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_47_148 (.VPWR(VPWR), + .VGND(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_43_91 (.VGND(VGND), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_47_160 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_43_97 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_47_203 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_43_101 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_47_211 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_43_106 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_47_217 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_43_120 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_47_223 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_43_124 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_47_225 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_43_135 (.VGND(VGND), - .VNB(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_47_238 (.VPWR(VPWR), + .VGND(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_43_156 (.VPWR(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_47_250 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__fill_2 FILLER_0_43_169 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_47_262 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_43_187 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_47_279 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_43_197 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_47_284 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_43_205 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_47_296 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_43_219 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_47_311 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_43_223 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_47_330 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_43_259 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_47_334 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_43_276 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_48_3 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_43_288 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_48_11 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_43_296 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__fill_1 FILLER_0_43_308 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_48_68 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_43_315 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_48_74 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_43_325 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_48_83 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_43_333 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_48_114 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_44_16 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_48_121 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_44_29 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_48_141 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_44_54 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_48_197 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_48_209 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_44_62 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_48_213 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_44_92 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_48_221 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__fill_1 FILLER_0_44_104 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_48_233 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_44_125 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_48_237 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_44_158 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_48_243 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_44_212 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_48_251 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_44_225 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_48_253 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_44_229 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_48_261 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_44_239 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_48_286 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_44_247 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_48_297 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_44_306 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_48_309 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_44_331 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_48_334 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_45_3 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_49_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_49_15 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_45_13 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_49_31 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_45_29 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_49_57 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_45_38 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_49_67 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_45_46 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_49_109 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_45_72 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_49_113 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_45_76 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_49_125 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_45_85 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_49_202 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_45_100 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_4 FILLER_0_45_113 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_49_206 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_45_117 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_49_221 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_45_131 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_49_225 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_45_162 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_49_260 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_45_186 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_49_267 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_45_193 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__fill_1 FILLER_0_45_223 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_49_275 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_45_235 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_49_288 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_45_243 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_49_296 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_45_264 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_4 FILLER_0_45_276 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_45_281 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_49_312 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_46_3 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_50_3 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__fill_1 FILLER_0_46_15 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_50_15 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_46_26 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_50_29 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_46_32 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_50_50 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_46_61 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_50_113 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_46_75 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_50_134 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_46_83 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_50_150 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_46_85 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_50_164 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_46_89 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_50_186 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_46_107 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_50_195 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_46_113 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_46_125 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_50_200 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_3 FILLER_0_46_137 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_50_209 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_46_141 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_50_233 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_46_148 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_50_269 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_46_164 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_50_279 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_46_176 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_50_304 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_8 FILLER_0_46_188 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_50_314 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_50_328 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_46_197 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_50_334 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_46_213 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_51_3 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__decap_3 FILLER_0_46_225 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_51_15 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_46_233 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_51_19 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_46_251 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_51_45 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_46_253 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_51_60 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_46_271 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_51_116 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_46_288 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_51_144 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_51_156 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_46_306 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_51_162 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_46_309 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_51_198 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_51_241 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_46_328 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_51_270 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_46_334 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_51_278 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_47_3 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_51_281 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_47_15 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_51_293 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_4 FILLER_0_47_27 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_51_297 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_47_39 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_51_324 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_47_47 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_51_332 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_47_54 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_52_3 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_47_57 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_52_11 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_47_63 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_52_29 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_47_85 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_52_41 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_47_95 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_52_65 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_47_110 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_52_85 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_47_113 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_52_101 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_47_132 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_52_118 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_47_151 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_52_130 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_47_159 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_52_141 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_47_167 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_52_152 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_47_189 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_52_169 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_47_209 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_52_177 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_47_217 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_52_194 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_47_223 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_52_218 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_47_239 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_52_222 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_47_258 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_52_240 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_52_269 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_47_303 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_52_278 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_47_307 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_52_285 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_47_323 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_52_291 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_47_327 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_52_303 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_47_331 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_52_307 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_48_3 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_52_318 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_48_12 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_53_3 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__decap_4 FILLER_0_48_24 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_53_15 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_48_35 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_53_34 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_48_39 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_53_38 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_48_47 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_53_57 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_48_66 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_53_63 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_48_74 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_53_72 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_48_83 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_53_92 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_48_91 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_53_100 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_8 FILLER_0_48_103 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_53_107 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_48_111 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_53_111 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_48_139 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_53_113 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_48_174 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_53_143 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_48_221 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_53_165 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_48_239 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_53_169 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_48_246 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_53_198 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_53_210 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_53_222 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_48_266 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_53_225 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_48_272 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_53_229 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_48_303 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_53_233 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_53_245 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_53_257 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_48_307 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_53_265 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_48_309 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_53_278 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_48_317 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_53_297 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_49_3 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_53_303 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_49_24 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_53_332 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_49_39 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_54_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_54_15 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_49_47 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_54_23 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_49_64 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_54_34 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_49_74 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_54_68 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_3 FILLER_0_49_86 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_54_72 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_49_92 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_54_81 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_49_98 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_54_105 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_49_103 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_54_131 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_49_109 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_54_197 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_49_169 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_54_206 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_49_178 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_54_210 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_49_192 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_54_223 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_54_235 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_49_203 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_54_239 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_49_207 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_54_251 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_49_213 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_54_277 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_49_223 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_54_303 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_49_225 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_54_312 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__fill_1 FILLER_0_49_237 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_54_324 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_49_241 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_54_332 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_49_253 (.VPWR(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_55_3 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__fill_1 FILLER_0_49_265 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_55_15 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_49_281 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_55_51 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_49_287 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_55_55 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_49_295 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_55_66 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_49_312 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_55_70 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_49_321 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_55_110 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_50_3 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_55_113 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_50_11 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_55_161 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_50_17 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_55_167 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_50_32 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_55_169 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_50_66 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_55_180 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_50_82 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_55_189 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_50_99 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_55_231 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_50_126 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_55_261 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_50_138 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_55_267 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_55_279 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_50_169 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_55_297 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_50_177 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_55_303 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_50_183 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_55_323 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__fill_1 FILLER_0_50_195 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_56_3 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_50_197 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_56_11 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_50_205 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_56_43 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_50_220 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_56_59 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_6 FILLER_0_50_232 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_56_67 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_50_238 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_56_139 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_50_244 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_56_141 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_50_261 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_56_154 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_56_166 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_50_289 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_56_184 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_50_306 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_56_188 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_50_333 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_56_194 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_51_3 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_56_197 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_51_15 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_56_250 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_51_25 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_56_265 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_51_43 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_56_277 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_56_289 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_56_301 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_51_57 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_56_309 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_51_83 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_56_316 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_51_110 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_57_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_57_15 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_51_124 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_57_51 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_51_135 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_57_55 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_51_147 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_57_73 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_51_156 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_57_77 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__fill_1 FILLER_0_51_169 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_57_109 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_51_202 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_4 FILLER_0_51_214 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_57_113 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_51_223 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_57_125 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_51_247 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_57_130 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_51_260 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_57_136 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_51_272 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_57_148 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_51_281 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_57_160 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_51_290 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_57_199 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_57_211 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_57_223 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_51_294 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_57_281 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_51_302 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_57_289 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_52_3 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_57_306 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_52_12 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_58_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_58_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_58_27 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_52_24 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_58_35 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_52_29 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_58_48 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_52_35 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_58_76 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_52_89 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_58_116 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_58_128 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_52_97 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_58_138 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_52_106 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_58_141 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_52_118 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_58_194 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_52_128 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_58_200 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__fill_2 FILLER_0_52_158 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_58_212 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_52_197 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_58_220 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_52_208 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_58_230 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_52_212 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_58_241 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_52_234 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_58_249 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_52_271 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_8 FILLER_0_52_283 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_58_253 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_52_334 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_58_273 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_53_3 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__fill_1 FILLER_0_53_15 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_58_283 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_53_19 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_58_290 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_53_23 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_58_305 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_53_55 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_58_320 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_53_60 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_58_324 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_53_87 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_58_334 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_53_95 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_59_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_59_15 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_53_102 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_59_23 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_53_108 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_59_34 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_53_140 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_59_48 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_53_157 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_59_57 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_53_175 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_59_74 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_53_184 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_59_96 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_53_222 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_59_111 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_53_232 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_59_113 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_53_236 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_59_121 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_53_250 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_59_203 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_59_218 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_53_259 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_59_225 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_59_237 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_59_256 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_53_281 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_59_264 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_54_3 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_59_272 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_54_11 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_59_278 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_54_59 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_59_288 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_54_67 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_59_296 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_54_80 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_59_313 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_54_85 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_60_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_60_15 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_54_126 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_60_27 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_54_148 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_60_34 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_54_154 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_60_74 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_54_162 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_60_141 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_54_169 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_60_184 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_54_188 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_60_195 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_54_192 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_60_211 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_54_215 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_60_219 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_54_222 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_60_231 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__fill_2 FILLER_0_54_234 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_60_250 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_54_242 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_60_259 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_54_250 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_60_269 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_54_253 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_60_275 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__fill_2 FILLER_0_54_265 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_60_287 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_60_299 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_54_284 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_60_307 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_54_293 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_60_309 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_54_307 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_60_318 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_54_333 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_60_334 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_55_3 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_8 FILLER_0_55_15 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_61_3 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_55_32 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_61_11 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_55_67 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_61_43 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_55_71 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_61_76 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_55_75 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_61_93 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_55_102 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_61_107 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_55_111 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_61_150 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_55_131 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_61_167 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_55_141 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_55_153 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_3 FILLER_0_55_165 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_61_174 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_55_169 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_61_252 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_55_181 (.VPWR(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_61_262 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_55_193 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_61_274 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_55_205 (.VPWR(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_61_281 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__decap_4 FILLER_0_55_237 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_61_293 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_55_241 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_61_297 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_55_259 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_61_330 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_55_268 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_61_334 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_55_272 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_62_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_62_15 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_55_279 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_62_29 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_55_291 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_62_41 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_55_333 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_62_50 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_56_3 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_62_72 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__decap_3 FILLER_0_56_15 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_62_88 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_56_29 (.VGND(VGND), - .VNB(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_62_109 (.VPWR(VPWR), + .VGND(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_56_54 (.VGND(VGND), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_62_121 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_56_62 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_62_138 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_56_70 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_62_148 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_56_85 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_62_155 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_56_116 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_62_194 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_56_128 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_62_200 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_56_135 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_62_230 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_56_139 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_62_236 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_56_141 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_62_241 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_56_156 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_62_250 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_56_161 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_62_253 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_56_169 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_62_261 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_56_178 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_62_277 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_56_187 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_62_283 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_56_195 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_62_296 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_56_197 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_62_306 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_56_205 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_62_331 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_56_212 (.VGND(VGND), - .VNB(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_63_3 (.VPWR(VPWR), + .VGND(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_56_225 (.VGND(VGND), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_63_15 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_56_233 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_63_19 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_56_251 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_63_38 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_56_274 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_63_68 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_56_298 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_63_74 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_56_307 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_63_91 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_56_309 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_63_103 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_57_3 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_63_111 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_57_38 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_63_159 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_57_42 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_63_200 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_57_47 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_63_225 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_57_57 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_63_281 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_57_75 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_63_304 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_63_316 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_57_79 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_63_333 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_57_95 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_64_3 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_57_102 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_64_27 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_57_110 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_64_29 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_57_113 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_64_56 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_57_131 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_64_65 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_57_138 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_64_82 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_57_154 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_64_93 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_57_176 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_64_105 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_57_195 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_64_164 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_57_209 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_64_182 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_57_223 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_64_192 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_57_245 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_64_202 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_64_214 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_57_259 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_64_238 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_57_294 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_64_277 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_57_307 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_64_281 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_57_311 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_64_294 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_57_319 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_64_302 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_58_3 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_64_318 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_64_330 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_58_7 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_64_334 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_58_26 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_65_3 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_58_43 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_65_11 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_58_77 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_65_87 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_58_83 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_65_98 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_58_85 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_65_106 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_58_92 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_65_131 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_58_96 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_65_143 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_58_111 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_65_169 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_58_162 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_65_177 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_58_218 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_65_197 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_58_233 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_65_211 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_58_243 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_65_241 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_58_247 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_65_253 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_58_251 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_65_266 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_65_278 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_58_260 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_65_281 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_58_278 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_65_288 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_59_19 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_65_295 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_59_54 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_65_304 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_59_84 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_65_310 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_59_102 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_65_314 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_59_110 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_65_325 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_59_118 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_65_333 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_59_122 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_66_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_66_15 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_59_146 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_66_21 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_59_169 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_66_26 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_59_177 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_66_29 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_59_195 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_66_82 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_59_206 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_66_89 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_59_219 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_66_96 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_59_223 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_66_136 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_59_225 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_66_144 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__fill_2 FILLER_0_59_259 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_66_156 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_59_266 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_66_167 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_59_281 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_66_175 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_60_38 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_66_194 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_60_79 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_66_228 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_60_101 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_66_238 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_60_113 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_66_245 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_60_117 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_66_251 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_60_121 (.VGND(VGND), - .VNB(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_66_253 (.VPWR(VPWR), + .VGND(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_60_125 (.VGND(VGND), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_66_265 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_60_138 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_66_273 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_60_145 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_66_300 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_60_175 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_66_315 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_60_190 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_66_333 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_60_197 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_67_3 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_60_209 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_67_15 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_60_228 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_67_27 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__fill_1 FILLER_0_60_251 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_67_37 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_60_259 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_67_45 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_60_272 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_67_80 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_61_3 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_67_84 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_61_7 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_67_109 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_61_17 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_67_113 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_61_35 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_67_117 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_61_93 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_67_121 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_61_105 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_67_148 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_61_110 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_67_161 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_61_128 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_67_167 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_61_136 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_67_169 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_61_144 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_67_187 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_61_152 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_67_197 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_61_167 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_67_208 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_67_220 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_67_258 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_61_172 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_67_275 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_61_188 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_67_279 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_61_194 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_67_313 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_61_204 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_68_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_68_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_68_27 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_61_212 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_68_29 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_68_41 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_68_53 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_61_239 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_68_79 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_61_243 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_68_83 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_61_250 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_68_106 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_61_321 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_68_128 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_62_3 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_68_134 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_62_9 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_68_141 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_62_27 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_68_178 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_62_29 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_68_186 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_62_63 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_68_195 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_62_71 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_68_197 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_68_209 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_62_111 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_68_213 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_62_133 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_68_218 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_62_139 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_68_248 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_62_141 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_68_277 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_62_149 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_68_306 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_62_154 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_68_326 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_62_166 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_68_334 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_62_192 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_69_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_69_15 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_62_211 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_69_19 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_62_244 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_69_24 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_62_253 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_69_32 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_62_287 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_69_54 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_62_306 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_69_57 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_62_318 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_69_63 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_63_3 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_69_118 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_63_9 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_69_126 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_63_26 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_69_165 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_63_52 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_69_178 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_63_84 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_69_186 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_63_90 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_69_199 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_63_109 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_69_207 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_63_126 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_69_214 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_63_162 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_69_225 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_63_238 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_69_253 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_63_278 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_69_273 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_63_334 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_69_279 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_64_14 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_69_281 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__fill_2 FILLER_0_64_26 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_69_293 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_64_29 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_69_301 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_64_58 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_70_3 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_64_70 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_70_7 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_64_76 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_70_34 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_64_130 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_70_40 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_64_152 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_70_44 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_64_164 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_70_65 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_64_172 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_70_74 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_64_266 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_70_82 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_64_333 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_70_95 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_65_3 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_70_118 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_65_11 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_70_139 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_65_37 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_70_141 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_65_57 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_70_154 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_65_80 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_70_173 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_65_88 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_70_253 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_65_110 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_70_263 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_65_113 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_70_272 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_65_147 (.VGND(VGND), - .VNB(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_70_291 (.VPWR(VPWR), + .VGND(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_65_167 (.VGND(VGND), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_70_303 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_65_172 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_70_307 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_65_189 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_70_332 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_65_207 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_71_3 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_65_213 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_71_11 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_65_292 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_71_65 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_71_77 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_71_89 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_65_318 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_71_93 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_66_3 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_71_102 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_66_9 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_71_110 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_66_26 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_71_113 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_66_37 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_71_123 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_66_48 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_71_196 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_66_65 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_71_222 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_66_77 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_71_237 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_66_83 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_71_251 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_66_97 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_71_262 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_66_103 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_71_307 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_66_111 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_71_317 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_71_329 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_66_119 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_72_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_72_15 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_66_129 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_72_27 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_66_139 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_72_55 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_66_177 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_72_62 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__decap_6 FILLER_0_66_189 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_72_74 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_66_195 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_72_80 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_66_202 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_72_106 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_66_253 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_72_131 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_66_271 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_72_139 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_66_307 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_72_141 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_66_333 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_72_180 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_67_3 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__fill_1 FILLER_0_67_15 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_72_187 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_67_32 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_72_220 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_67_44 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_72_234 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_67_48 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_72_238 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_67_57 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_72_247 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_67_74 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_72_251 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_67_108 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_72_261 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_67_113 (.VGND(VGND), - .VNB(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_72_265 (.VPWR(VPWR), + .VGND(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_67_124 (.VGND(VGND), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_72_277 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_67_138 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_72_307 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_67_147 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_72_317 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_72_329 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_67_158 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_73_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_73_15 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_67_169 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_73_53 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_67_187 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_73_57 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_67_202 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_73_86 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_67_273 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_73_121 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_67_334 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_73_149 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_68_3 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_73_161 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_68_27 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_73_167 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_68_50 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_73_178 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_68_62 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_8 FILLER_0_68_74 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_73_192 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_68_82 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_73_273 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_68_85 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_73_297 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_68_92 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_73_328 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_68_109 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_73_334 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_68_139 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_74_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_74_15 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_68_188 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_74_23 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_68_271 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_74_47 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_68_334 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_74_82 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_69_3 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_74_85 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_69_33 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_74_92 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_69_55 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_74_99 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_69_57 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_74_139 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_69_61 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_74_144 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_74_160 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_69_78 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_74_169 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_74_181 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_69_169 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_74_189 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_69_190 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_74_195 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_69_223 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_74_197 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_69_241 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_74_204 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_69_279 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_74_212 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_69_305 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_74_244 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_70_3 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_74_251 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_70_27 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_74_278 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_70_29 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_74_288 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_70_37 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_74_325 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_70_50 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_74_333 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_70_56 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_75_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_75_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_75_27 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_75_42 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_70_63 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_75_48 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_70_83 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_75_57 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_70_96 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_75_70 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_70_111 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_75_92 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_70_137 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_75_96 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_70_184 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_75_108 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_70_195 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_75_121 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_70_242 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_75_177 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_70_261 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_75_199 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_70_281 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_75_228 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_70_307 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_75_248 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_70_309 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_75_252 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_71_3 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_75_281 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_71_10 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_75_285 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_71_14 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_75_334 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_71_20 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_76_3 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__decap_8 FILLER_0_71_32 (.VGND(VGND), - .VNB(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_76_15 (.VPWR(VPWR), + .VGND(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_71_40 (.VGND(VGND), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_76_27 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_71_48 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_76_29 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_71_100 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_76_34 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_71_130 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_76_44 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_71_159 (.VGND(VGND), - .VNB(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_76_54 (.VPWR(VPWR), + .VGND(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_71_203 (.VGND(VGND), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_76_66 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_71_223 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_76_82 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_71_249 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_76_130 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_71_289 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_76_141 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_71_314 (.VGND(VGND), - .VNB(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_76_205 (.VPWR(VPWR), + .VGND(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_72_29 (.VGND(VGND), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_76_217 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_76_229 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_72_100 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_76_261 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_72_108 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_76_271 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_72_197 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_76_305 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_72_222 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_76_317 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_73_20 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_76_329 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_73_48 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_77_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_77_15 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_73_77 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_77_29 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_73_86 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_77_66 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_73_109 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_77_74 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_73_116 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_77_86 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_73_169 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_77_94 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_73_200 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_77_158 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_73_217 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_77_169 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_73_259 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_77_220 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_74_3 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_77_225 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_74_27 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_77_289 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_74_29 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_77_300 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_74_50 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_77_304 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_74_68 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_77_321 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_77_333 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_74_82 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_78_3 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_74_113 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_78_7 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_74_207 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_78_37 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_74_319 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_78_77 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_75_14 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_78_92 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_75_26 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_78_100 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_75_39 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_78_106 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_75_95 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_78_130 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_75_113 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_78_157 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_75_131 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_78_179 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_75_205 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_78_195 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_75_225 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_78_230 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_75_249 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_78_253 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_76_3 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_78_291 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_76_29 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_78_333 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_76_45 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_79_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_79_15 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_76_59 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_79_19 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_76_82 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_79_44 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_76_92 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_79_49 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_76_212 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_79_54 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_76_269 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_79_57 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_76_297 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_79_155 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_76_307 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_79_197 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_76_317 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_79_258 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_76_334 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_79_278 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_77_33 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_79_329 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_77_48 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_80_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_80_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_80_27 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_77_55 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_80_29 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_77_57 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_80_33 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_77_76 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_80_39 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_80_51 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_77_84 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_80_55 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_77_92 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_80_57 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_77_113 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_80_70 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_77_155 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_80_74 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_77_169 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_80_79 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_77_223 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_80_83 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_77_225 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_80_85 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_77_271 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_80_91 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_78_3 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_80_100 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_80_113 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_78_21 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_80_121 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_78_71 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_80_128 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_78_139 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_80_141 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_78_253 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_80_145 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_78_334 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_80_150 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_80_162 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_79_3 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_80_167 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_79_113 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_80_169 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_79_192 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_80_175 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_79_281 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_80_179 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_79_334 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_80_183 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_80_16 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_80_187 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_80_57 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_80_195 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_80_89 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_80_197 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_80_209 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_80_141 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_80_215 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_80_163 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_80_242 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_80_190 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_80_248 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_80_281 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_80_256 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_80_307 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_80_312 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_80_314 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_80_333 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR));