diff --git a/projects/tt_um_whynot/commit_id.json b/projects/tt_um_whynot/commit_id.json index 67a2db7..24228e4 100644 --- a/projects/tt_um_whynot/commit_id.json +++ b/projects/tt_um_whynot/commit_id.json @@ -1,8 +1,8 @@ { "app": "Tiny Tapeout tt08 587b6cb0", "repo": "https://github.com/sylefeb/tt08-fun", - "commit": "13e45468890ecf5e8089ce760cfbbe9006153373", - "workflow_url": "https://github.com/sylefeb/tt08-fun/actions/runs/10743723491", + "commit": "1fa1d5e1052f74c6e4a81b58ed9260529608e1fa", + "workflow_url": "https://github.com/sylefeb/tt08-fun/actions/runs/10744038134", "sort_id": 1725650096096, "openlane_version": "OpenLane2 2.0.8", "pdk_version": "open_pdks bdc9412b3e468c102d01b7cf6337be06ec6e9c9a" diff --git a/projects/tt_um_whynot/docs/info.md b/projects/tt_um_whynot/docs/info.md index 2fb237c..b686f87 100644 --- a/projects/tt_um_whynot/docs/info.md +++ b/projects/tt_um_whynot/docs/info.md @@ -9,7 +9,10 @@ You can also include images in this folder and reference them in the markdown. E ## How it works -How ? Unsure yet :) +This is a single tile 'demo' hacked on the very last day, basically during +coffee breaks. It's using an old rotozoom trick, and is otherwise pretty simple. + +Music is ... well it is an attempt ;) ## How to test @@ -17,5 +20,4 @@ Plug VGA pmod, power up, enjoy. ## External hardware -VGA PMOD. - +VGA PMOD, Audio PMOD diff --git a/projects/tt_um_whynot/info.yaml b/projects/tt_um_whynot/info.yaml index 749626b..15ceae6 100644 --- a/projects/tt_um_whynot/info.yaml +++ b/projects/tt_um_whynot/info.yaml @@ -49,7 +49,7 @@ pinout: uio[4]: "" uio[5]: "" uio[6]: "" - uio[7]: "" + uio[7]: "Audio (output)" # Do not change! yaml_version: 6 diff --git a/projects/tt_um_whynot/stats/metrics.csv b/projects/tt_um_whynot/stats/metrics.csv index 9ab4c4b..b8165d0 100644 --- a/projects/tt_um_whynot/stats/metrics.csv +++ b/projects/tt_um_whynot/stats/metrics.csv @@ -3,81 +3,81 @@ design__lint_error__count,0 design__lint_timing_construct__count,0 design__lint_warning__count,43 design__inferred_latch__count,0 -design__instance__count,1706 -design__instance__area,12922.4 +design__instance__count,1712 +design__instance__area,13052.5 design__instance_unmapped__count,0 synthesis__check_error__count,0 design__max_slew_violation__count__corner:nom_tt_025C_1v80,0 -design__max_fanout_violation__count__corner:nom_tt_025C_1v80,8 +design__max_fanout_violation__count__corner:nom_tt_025C_1v80,7 design__max_cap_violation__count__corner:nom_tt_025C_1v80,0 -power__internal__total,0.0002342688530916348 -power__switching__total,8.604073082096875e-05 -power__leakage__total,1.4317769192473406e-08 -power__total,0.0003203239175491035 -clock__skew__worst_hold__corner:nom_tt_025C_1v80,-0.032818 -clock__skew__worst_setup__corner:nom_tt_025C_1v80,-0.032818 -timing__hold__ws__corner:nom_tt_025C_1v80,0.315534 -timing__setup__ws__corner:nom_tt_025C_1v80,30.595446 +power__internal__total,0.00023845613759476691 +power__switching__total,8.936670928960666e-05 +power__leakage__total,1.4173038742626431e-08 +power__total,0.0003278370131738484 +clock__skew__worst_hold__corner:nom_tt_025C_1v80,0.054035 +clock__skew__worst_setup__corner:nom_tt_025C_1v80,0.054035 +timing__hold__ws__corner:nom_tt_025C_1v80,0.315082 +timing__setup__ws__corner:nom_tt_025C_1v80,30.660933 timing__hold__tns__corner:nom_tt_025C_1v80,0.0 timing__setup__tns__corner:nom_tt_025C_1v80,0.0 timing__hold__wns__corner:nom_tt_025C_1v80,0.0 timing__setup__wns__corner:nom_tt_025C_1v80,0.0 timing__hold_vio__count__corner:nom_tt_025C_1v80,0 -timing__hold_r2r__ws__corner:nom_tt_025C_1v80,0.315534 +timing__hold_r2r__ws__corner:nom_tt_025C_1v80,0.315082 timing__hold_r2r_vio__count__corner:nom_tt_025C_1v80,0 timing__setup_vio__count__corner:nom_tt_025C_1v80,0 -timing__setup_r2r__ws__corner:nom_tt_025C_1v80,32.919273 +timing__setup_r2r__ws__corner:nom_tt_025C_1v80,33.652416 timing__setup_r2r_vio__count__corner:nom_tt_025C_1v80,0 -design__max_slew_violation__count__corner:nom_ss_100C_1v60,17 -design__max_fanout_violation__count__corner:nom_ss_100C_1v60,8 +design__max_slew_violation__count__corner:nom_ss_100C_1v60,0 +design__max_fanout_violation__count__corner:nom_ss_100C_1v60,7 design__max_cap_violation__count__corner:nom_ss_100C_1v60,0 -clock__skew__worst_hold__corner:nom_ss_100C_1v60,0.050387 -clock__skew__worst_setup__corner:nom_ss_100C_1v60,0.050387 -timing__hold__ws__corner:nom_ss_100C_1v60,0.864888 -timing__setup__ws__corner:nom_ss_100C_1v60,24.997221 +clock__skew__worst_hold__corner:nom_ss_100C_1v60,0.085006 +clock__skew__worst_setup__corner:nom_ss_100C_1v60,0.085006 +timing__hold__ws__corner:nom_ss_100C_1v60,0.829858 +timing__setup__ws__corner:nom_ss_100C_1v60,26.990664 timing__hold__tns__corner:nom_ss_100C_1v60,0.0 timing__setup__tns__corner:nom_ss_100C_1v60,0.0 timing__hold__wns__corner:nom_ss_100C_1v60,0.0 timing__setup__wns__corner:nom_ss_100C_1v60,0.0 timing__hold_vio__count__corner:nom_ss_100C_1v60,0 -timing__hold_r2r__ws__corner:nom_ss_100C_1v60,0.864888 +timing__hold_r2r__ws__corner:nom_ss_100C_1v60,0.829858 timing__hold_r2r_vio__count__corner:nom_ss_100C_1v60,0 timing__setup_vio__count__corner:nom_ss_100C_1v60,0 -timing__setup_r2r__ws__corner:nom_ss_100C_1v60,24.997221 +timing__setup_r2r__ws__corner:nom_ss_100C_1v60,26.990664 timing__setup_r2r_vio__count__corner:nom_ss_100C_1v60,0 design__max_slew_violation__count__corner:nom_ff_n40C_1v95,0 -design__max_fanout_violation__count__corner:nom_ff_n40C_1v95,8 +design__max_fanout_violation__count__corner:nom_ff_n40C_1v95,7 design__max_cap_violation__count__corner:nom_ff_n40C_1v95,0 -clock__skew__worst_hold__corner:nom_ff_n40C_1v95,-0.025498 -clock__skew__worst_setup__corner:nom_ff_n40C_1v95,-0.025498 -timing__hold__ws__corner:nom_ff_n40C_1v95,0.119727 -timing__setup__ws__corner:nom_ff_n40C_1v95,30.971245 +clock__skew__worst_hold__corner:nom_ff_n40C_1v95,0.041135 +clock__skew__worst_setup__corner:nom_ff_n40C_1v95,0.041135 +timing__hold__ws__corner:nom_ff_n40C_1v95,0.112701 +timing__setup__ws__corner:nom_ff_n40C_1v95,31.018957 timing__hold__tns__corner:nom_ff_n40C_1v95,0.0 timing__setup__tns__corner:nom_ff_n40C_1v95,0.0 timing__hold__wns__corner:nom_ff_n40C_1v95,0.0 timing__setup__wns__corner:nom_ff_n40C_1v95,0.0 timing__hold_vio__count__corner:nom_ff_n40C_1v95,0 -timing__hold_r2r__ws__corner:nom_ff_n40C_1v95,0.119727 +timing__hold_r2r__ws__corner:nom_ff_n40C_1v95,0.112701 timing__hold_r2r_vio__count__corner:nom_ff_n40C_1v95,0 timing__setup_vio__count__corner:nom_ff_n40C_1v95,0 -timing__setup_r2r__ws__corner:nom_ff_n40C_1v95,35.616318 +timing__setup_r2r__ws__corner:nom_ff_n40C_1v95,36.038219 timing__setup_r2r_vio__count__corner:nom_ff_n40C_1v95,0 -design__max_slew_violation__count,17 -design__max_fanout_violation__count,8 +design__max_slew_violation__count,6 +design__max_fanout_violation__count,7 design__max_cap_violation__count,0 -clock__skew__worst_hold,0.054329 -clock__skew__worst_setup,-0.049387 -timing__hold__ws,0.116239 -timing__setup__ws,24.782213 +clock__skew__worst_hold,0.088369 +clock__skew__worst_setup,0.037733 +timing__hold__ws,0.110067 +timing__setup__ws,26.780573 timing__hold__tns,0.0 timing__setup__tns,0.0 timing__hold__wns,0.0 timing__setup__wns,0.0 timing__hold_vio__count,0 -timing__hold_r2r__ws,0.116239 +timing__hold_r2r__ws,0.110067 timing__hold_r2r_vio__count,0 timing__setup_vio__count,0 -timing__setup_r2r__ws,24.782213 +timing__setup_r2r__ws,26.780573 timing__setup_r2r_vio__count,0 design__die__bbox,0.0 0.0 161.0 111.52 design__core__bbox,2.76 2.72 158.24 108.8 @@ -86,12 +86,12 @@ flow__errors__count,0 design__io,45 design__die__area,17954.7 design__core__area,16493.3 -design__instance__count__stdcell,1706 -design__instance__area__stdcell,12922.4 +design__instance__count__stdcell,1712 +design__instance__area__stdcell,13052.5 design__instance__count__macros,0 design__instance__area__macros,0 -design__instance__utilization,0.783493 -design__instance__utilization__stdcell,0.783493 +design__instance__utilization,0.791382 +design__instance__utilization__stdcell,0.791382 design__power_grid_violation__count__net:VGND,0 design__power_grid_violation__count__net:VPWR,0 design__power_grid_violation__count,0 @@ -100,57 +100,39 @@ timing__drv__floating__pins,0 design__instance__displacement__total,0 design__instance__displacement__mean,0 design__instance__displacement__max,0 -route__wirelength__estimated,31935.8 +route__wirelength__estimated,30409.7 design__violations,0 design__instance__count__setup_buffer,0 -design__instance__count__hold_buffer,62 -antenna__violating__nets,0 -antenna__violating__pins,0 -route__antenna_violation__count,0 -route__net,1500 +design__instance__count__hold_buffer,54 +antenna__violating__nets,2 +antenna__violating__pins,2 +route__antenna_violation__count,2 +route__net,1506 route__net__special,2 -route__drc_errors__iter:1,2281 -route__wirelength__iter:1,40400 -route__drc_errors__iter:2,1296 -route__wirelength__iter:2,39828 -route__drc_errors__iter:3,1090 -route__wirelength__iter:3,39444 -route__drc_errors__iter:4,501 -route__wirelength__iter:4,39424 -route__drc_errors__iter:5,207 -route__wirelength__iter:5,39414 -route__drc_errors__iter:6,153 -route__wirelength__iter:6,39446 -route__drc_errors__iter:7,142 -route__wirelength__iter:7,39449 -route__drc_errors__iter:8,57 -route__wirelength__iter:8,39399 -route__drc_errors__iter:9,47 -route__wirelength__iter:9,39407 -route__drc_errors__iter:10,47 -route__wirelength__iter:10,39407 -route__drc_errors__iter:11,18 -route__wirelength__iter:11,39440 -route__drc_errors__iter:12,10 -route__wirelength__iter:12,39444 -route__drc_errors__iter:13,10 -route__wirelength__iter:13,39444 -route__drc_errors__iter:14,7 -route__wirelength__iter:14,39445 -route__drc_errors__iter:15,6 -route__wirelength__iter:15,39461 -route__drc_errors__iter:16,3 -route__wirelength__iter:16,39466 -route__drc_errors__iter:17,0 -route__wirelength__iter:17,39475 +route__drc_errors__iter:1,2282 +route__wirelength__iter:1,38632 +route__drc_errors__iter:2,980 +route__wirelength__iter:2,38026 +route__drc_errors__iter:3,795 +route__wirelength__iter:3,37462 +route__drc_errors__iter:4,212 +route__wirelength__iter:4,37330 +route__drc_errors__iter:5,84 +route__wirelength__iter:5,37339 +route__drc_errors__iter:6,19 +route__wirelength__iter:6,37307 +route__drc_errors__iter:7,1 +route__wirelength__iter:7,37324 +route__drc_errors__iter:8,0 +route__wirelength__iter:8,37328 route__drc_errors,0 -route__wirelength,39475 -route__vias,12219 -route__vias__singlecut,12219 +route__wirelength,37328 +route__vias,11700 +route__vias__singlecut,11700 route__vias__multicut,0 design__disconnected_pin__count,17 design__critical_disconnected_pin__count,0 -route__wirelength__max,322.73 +route__wirelength__max,248.58 timing__unannotated_net__count__corner:nom_tt_025C_1v80,32 timing__unannotated_net_filtered__count__corner:nom_tt_025C_1v80,0 timing__unannotated_net__count__corner:nom_ss_100C_1v60,32 @@ -158,116 +140,116 @@ timing__unannotated_net_filtered__count__corner:nom_ss_100C_1v60,0 timing__unannotated_net__count__corner:nom_ff_n40C_1v95,32 timing__unannotated_net_filtered__count__corner:nom_ff_n40C_1v95,0 design__max_slew_violation__count__corner:min_tt_025C_1v80,0 -design__max_fanout_violation__count__corner:min_tt_025C_1v80,8 +design__max_fanout_violation__count__corner:min_tt_025C_1v80,7 design__max_cap_violation__count__corner:min_tt_025C_1v80,0 -clock__skew__worst_hold__corner:min_tt_025C_1v80,-0.032074 -clock__skew__worst_setup__corner:min_tt_025C_1v80,-0.032074 -timing__hold__ws__corner:min_tt_025C_1v80,0.310252 -timing__setup__ws__corner:min_tt_025C_1v80,30.621372 +clock__skew__worst_hold__corner:min_tt_025C_1v80,0.049908 +clock__skew__worst_setup__corner:min_tt_025C_1v80,0.049908 +timing__hold__ws__corner:min_tt_025C_1v80,0.310516 +timing__setup__ws__corner:min_tt_025C_1v80,30.686516 timing__hold__tns__corner:min_tt_025C_1v80,0.0 timing__setup__tns__corner:min_tt_025C_1v80,0.0 timing__hold__wns__corner:min_tt_025C_1v80,0.0 timing__setup__wns__corner:min_tt_025C_1v80,0.0 timing__hold_vio__count__corner:min_tt_025C_1v80,0 -timing__hold_r2r__ws__corner:min_tt_025C_1v80,0.310252 +timing__hold_r2r__ws__corner:min_tt_025C_1v80,0.310516 timing__hold_r2r_vio__count__corner:min_tt_025C_1v80,0 timing__setup_vio__count__corner:min_tt_025C_1v80,0 -timing__setup_r2r__ws__corner:min_tt_025C_1v80,33.037834 +timing__setup_r2r__ws__corner:min_tt_025C_1v80,33.785374 timing__setup_r2r_vio__count__corner:min_tt_025C_1v80,0 timing__unannotated_net__count__corner:min_tt_025C_1v80,32 timing__unannotated_net_filtered__count__corner:min_tt_025C_1v80,0 -design__max_slew_violation__count__corner:min_ss_100C_1v60,17 -design__max_fanout_violation__count__corner:min_ss_100C_1v60,8 +design__max_slew_violation__count__corner:min_ss_100C_1v60,0 +design__max_fanout_violation__count__corner:min_ss_100C_1v60,7 design__max_cap_violation__count__corner:min_ss_100C_1v60,0 -clock__skew__worst_hold__corner:min_ss_100C_1v60,-0.049387 -clock__skew__worst_setup__corner:min_ss_100C_1v60,-0.049387 -timing__hold__ws__corner:min_ss_100C_1v60,0.857176 -timing__setup__ws__corner:min_ss_100C_1v60,25.201878 +clock__skew__worst_hold__corner:min_ss_100C_1v60,0.079181 +clock__skew__worst_setup__corner:min_ss_100C_1v60,0.079181 +timing__hold__ws__corner:min_ss_100C_1v60,0.82161 +timing__setup__ws__corner:min_ss_100C_1v60,27.228804 timing__hold__tns__corner:min_ss_100C_1v60,0.0 timing__setup__tns__corner:min_ss_100C_1v60,0.0 timing__hold__wns__corner:min_ss_100C_1v60,0.0 timing__setup__wns__corner:min_ss_100C_1v60,0.0 timing__hold_vio__count__corner:min_ss_100C_1v60,0 -timing__hold_r2r__ws__corner:min_ss_100C_1v60,0.857176 +timing__hold_r2r__ws__corner:min_ss_100C_1v60,0.82161 timing__hold_r2r_vio__count__corner:min_ss_100C_1v60,0 timing__setup_vio__count__corner:min_ss_100C_1v60,0 -timing__setup_r2r__ws__corner:min_ss_100C_1v60,25.201878 +timing__setup_r2r__ws__corner:min_ss_100C_1v60,27.228804 timing__setup_r2r_vio__count__corner:min_ss_100C_1v60,0 timing__unannotated_net__count__corner:min_ss_100C_1v60,32 timing__unannotated_net_filtered__count__corner:min_ss_100C_1v60,0 design__max_slew_violation__count__corner:min_ff_n40C_1v95,0 -design__max_fanout_violation__count__corner:min_ff_n40C_1v95,8 +design__max_fanout_violation__count__corner:min_ff_n40C_1v95,7 design__max_cap_violation__count__corner:min_ff_n40C_1v95,0 -clock__skew__worst_hold__corner:min_ff_n40C_1v95,-0.025045 -clock__skew__worst_setup__corner:min_ff_n40C_1v95,-0.025045 -timing__hold__ws__corner:min_ff_n40C_1v95,0.116239 -timing__setup__ws__corner:min_ff_n40C_1v95,30.990671 +clock__skew__worst_hold__corner:min_ff_n40C_1v95,0.037733 +clock__skew__worst_setup__corner:min_ff_n40C_1v95,0.037733 +timing__hold__ws__corner:min_ff_n40C_1v95,0.110067 +timing__setup__ws__corner:min_ff_n40C_1v95,31.037716 timing__hold__tns__corner:min_ff_n40C_1v95,0.0 timing__setup__tns__corner:min_ff_n40C_1v95,0.0 timing__hold__wns__corner:min_ff_n40C_1v95,0.0 timing__setup__wns__corner:min_ff_n40C_1v95,0.0 timing__hold_vio__count__corner:min_ff_n40C_1v95,0 -timing__hold_r2r__ws__corner:min_ff_n40C_1v95,0.116239 +timing__hold_r2r__ws__corner:min_ff_n40C_1v95,0.110067 timing__hold_r2r_vio__count__corner:min_ff_n40C_1v95,0 timing__setup_vio__count__corner:min_ff_n40C_1v95,0 -timing__setup_r2r__ws__corner:min_ff_n40C_1v95,35.691204 +timing__setup_r2r__ws__corner:min_ff_n40C_1v95,36.124302 timing__setup_r2r_vio__count__corner:min_ff_n40C_1v95,0 timing__unannotated_net__count__corner:min_ff_n40C_1v95,32 timing__unannotated_net_filtered__count__corner:min_ff_n40C_1v95,0 design__max_slew_violation__count__corner:max_tt_025C_1v80,0 -design__max_fanout_violation__count__corner:max_tt_025C_1v80,8 +design__max_fanout_violation__count__corner:max_tt_025C_1v80,7 design__max_cap_violation__count__corner:max_tt_025C_1v80,0 -clock__skew__worst_hold__corner:max_tt_025C_1v80,0.036078 -clock__skew__worst_setup__corner:max_tt_025C_1v80,0.036078 -timing__hold__ws__corner:max_tt_025C_1v80,0.321147 -timing__setup__ws__corner:max_tt_025C_1v80,30.571226 +clock__skew__worst_hold__corner:max_tt_025C_1v80,0.057076 +clock__skew__worst_setup__corner:max_tt_025C_1v80,0.057076 +timing__hold__ws__corner:max_tt_025C_1v80,0.319736 +timing__setup__ws__corner:max_tt_025C_1v80,30.637318 timing__hold__tns__corner:max_tt_025C_1v80,0.0 timing__setup__tns__corner:max_tt_025C_1v80,0.0 timing__hold__wns__corner:max_tt_025C_1v80,0.0 timing__setup__wns__corner:max_tt_025C_1v80,0.0 timing__hold_vio__count__corner:max_tt_025C_1v80,0 -timing__hold_r2r__ws__corner:max_tt_025C_1v80,0.321147 +timing__hold_r2r__ws__corner:max_tt_025C_1v80,0.319736 timing__hold_r2r_vio__count__corner:max_tt_025C_1v80,0 timing__setup_vio__count__corner:max_tt_025C_1v80,0 -timing__setup_r2r__ws__corner:max_tt_025C_1v80,32.799885 +timing__setup_r2r__ws__corner:max_tt_025C_1v80,33.538216 timing__setup_r2r_vio__count__corner:max_tt_025C_1v80,0 timing__unannotated_net__count__corner:max_tt_025C_1v80,32 timing__unannotated_net_filtered__count__corner:max_tt_025C_1v80,0 -design__max_slew_violation__count__corner:max_ss_100C_1v60,17 -design__max_fanout_violation__count__corner:max_ss_100C_1v60,8 +design__max_slew_violation__count__corner:max_ss_100C_1v60,6 +design__max_fanout_violation__count__corner:max_ss_100C_1v60,7 design__max_cap_violation__count__corner:max_ss_100C_1v60,0 -clock__skew__worst_hold__corner:max_ss_100C_1v60,0.054329 -clock__skew__worst_setup__corner:max_ss_100C_1v60,0.054329 -timing__hold__ws__corner:max_ss_100C_1v60,0.872904 -timing__setup__ws__corner:max_ss_100C_1v60,24.782213 +clock__skew__worst_hold__corner:max_ss_100C_1v60,0.088369 +clock__skew__worst_setup__corner:max_ss_100C_1v60,0.088369 +timing__hold__ws__corner:max_ss_100C_1v60,0.838007 +timing__setup__ws__corner:max_ss_100C_1v60,26.780573 timing__hold__tns__corner:max_ss_100C_1v60,0.0 timing__setup__tns__corner:max_ss_100C_1v60,0.0 timing__hold__wns__corner:max_ss_100C_1v60,0.0 timing__setup__wns__corner:max_ss_100C_1v60,0.0 timing__hold_vio__count__corner:max_ss_100C_1v60,0 -timing__hold_r2r__ws__corner:max_ss_100C_1v60,0.872904 +timing__hold_r2r__ws__corner:max_ss_100C_1v60,0.838007 timing__hold_r2r_vio__count__corner:max_ss_100C_1v60,0 timing__setup_vio__count__corner:max_ss_100C_1v60,0 -timing__setup_r2r__ws__corner:max_ss_100C_1v60,24.782213 +timing__setup_r2r__ws__corner:max_ss_100C_1v60,26.780573 timing__setup_r2r_vio__count__corner:max_ss_100C_1v60,0 timing__unannotated_net__count__corner:max_ss_100C_1v60,32 timing__unannotated_net_filtered__count__corner:max_ss_100C_1v60,0 design__max_slew_violation__count__corner:max_ff_n40C_1v95,0 -design__max_fanout_violation__count__corner:max_ff_n40C_1v95,8 +design__max_fanout_violation__count__corner:max_ff_n40C_1v95,7 design__max_cap_violation__count__corner:max_ff_n40C_1v95,0 -clock__skew__worst_hold__corner:max_ff_n40C_1v95,0.028044 -clock__skew__worst_setup__corner:max_ff_n40C_1v95,0.028044 -timing__hold__ws__corner:max_ff_n40C_1v95,0.124138 -timing__setup__ws__corner:max_ff_n40C_1v95,30.953268 +clock__skew__worst_hold__corner:max_ff_n40C_1v95,0.044132 +clock__skew__worst_setup__corner:max_ff_n40C_1v95,0.044132 +timing__hold__ws__corner:max_ff_n40C_1v95,0.115466 +timing__setup__ws__corner:max_ff_n40C_1v95,31.001606 timing__hold__tns__corner:max_ff_n40C_1v95,0.0 timing__setup__tns__corner:max_ff_n40C_1v95,0.0 timing__hold__wns__corner:max_ff_n40C_1v95,0.0 timing__setup__wns__corner:max_ff_n40C_1v95,0.0 timing__hold_vio__count__corner:max_ff_n40C_1v95,0 -timing__hold_r2r__ws__corner:max_ff_n40C_1v95,0.124138 +timing__hold_r2r__ws__corner:max_ff_n40C_1v95,0.115466 timing__hold_r2r_vio__count__corner:max_ff_n40C_1v95,0 timing__setup_vio__count__corner:max_ff_n40C_1v95,0 -timing__setup_r2r__ws__corner:max_ff_n40C_1v95,35.538712 +timing__setup_r2r__ws__corner:max_ff_n40C_1v95,35.962009 timing__setup_r2r_vio__count__corner:max_ff_n40C_1v95,0 timing__unannotated_net__count__corner:max_ff_n40C_1v95,32 timing__unannotated_net_filtered__count__corner:max_ff_n40C_1v95,0 @@ -275,13 +257,13 @@ timing__unannotated_net__count,32 timing__unannotated_net_filtered__count,0 design_powergrid__voltage__worst__net:VPWR__corner:nom_tt_025C_1v80,1.79997 design_powergrid__drop__average__net:VPWR__corner:nom_tt_025C_1v80,1.79999 -design_powergrid__drop__worst__net:VPWR__corner:nom_tt_025C_1v80,0.0000317784 -design_powergrid__voltage__worst__net:VGND__corner:nom_tt_025C_1v80,0.0000325736 -design_powergrid__drop__average__net:VGND__corner:nom_tt_025C_1v80,0.00000552516 -design_powergrid__drop__worst__net:VGND__corner:nom_tt_025C_1v80,0.0000325736 +design_powergrid__drop__worst__net:VPWR__corner:nom_tt_025C_1v80,0.000031183 +design_powergrid__voltage__worst__net:VGND__corner:nom_tt_025C_1v80,0.0000286478 +design_powergrid__drop__average__net:VGND__corner:nom_tt_025C_1v80,0.00000551997 +design_powergrid__drop__worst__net:VGND__corner:nom_tt_025C_1v80,0.0000286478 ir__voltage__worst,1.8000000000000000444089209850062616169452667236328125 -ir__drop__avg,0.0000053600000000000003503729424647605839027164620347321033477783203125 -ir__drop__worst,0.000031800000000000000466814087385358789106248877942562103271484375 +ir__drop__avg,0.0000053900000000000000631417661212108072277260362170636653900146484375 +ir__drop__worst,0.000031199999999999999435174036221951610059477388858795166015625 magic__drc_error__count,0 magic__illegal_overlap__count,0 design__lvs_device_difference__count,0 diff --git a/projects/tt_um_whynot/stats/synthesis-stats.txt b/projects/tt_um_whynot/stats/synthesis-stats.txt index 9903eb3..79dfd06 100644 --- a/projects/tt_um_whynot/stats/synthesis-stats.txt +++ b/projects/tt_um_whynot/stats/synthesis-stats.txt @@ -2,75 +2,74 @@ === tt_um_whynot === - Number of wires: 1251 - Number of wire bits: 1286 - Number of public wires: 158 - Number of public wire bits: 193 + Number of wires: 1260 + Number of wire bits: 1295 + Number of public wires: 159 + Number of public wire bits: 194 Number of memories: 0 Number of memory bits: 0 Number of processes: 0 - Number of cells: 1267 - sky130_fd_sc_hd__a2111o_2 1 - sky130_fd_sc_hd__a2111oi_2 1 - sky130_fd_sc_hd__a211o_2 10 - sky130_fd_sc_hd__a211oi_2 4 - sky130_fd_sc_hd__a21bo_2 5 - sky130_fd_sc_hd__a21boi_2 2 - sky130_fd_sc_hd__a21o_2 59 - sky130_fd_sc_hd__a21oi_2 74 - sky130_fd_sc_hd__a221o_2 3 - sky130_fd_sc_hd__a2bb2o_2 5 + Number of cells: 1276 + sky130_fd_sc_hd__a211o_2 2 + sky130_fd_sc_hd__a21bo_2 7 + sky130_fd_sc_hd__a21boi_2 5 + sky130_fd_sc_hd__a21o_2 64 + sky130_fd_sc_hd__a21oi_2 54 + sky130_fd_sc_hd__a221o_2 2 + sky130_fd_sc_hd__a221oi_2 1 + sky130_fd_sc_hd__a22o_2 5 + sky130_fd_sc_hd__a22oi_2 1 + sky130_fd_sc_hd__a2bb2o_2 1 sky130_fd_sc_hd__a311o_2 1 - sky130_fd_sc_hd__a31o_2 29 - sky130_fd_sc_hd__a31oi_2 1 - sky130_fd_sc_hd__a32o_2 1 - sky130_fd_sc_hd__a41o_2 1 - sky130_fd_sc_hd__and2_2 47 - sky130_fd_sc_hd__and2b_2 29 - sky130_fd_sc_hd__and3_2 59 - sky130_fd_sc_hd__and3b_2 20 - sky130_fd_sc_hd__and4_2 12 - sky130_fd_sc_hd__and4b_2 11 - sky130_fd_sc_hd__and4bb_2 7 + sky130_fd_sc_hd__a311oi_2 1 + sky130_fd_sc_hd__a31o_2 37 + sky130_fd_sc_hd__a31oi_2 3 + sky130_fd_sc_hd__a32o_2 4 + sky130_fd_sc_hd__a41o_2 6 + sky130_fd_sc_hd__and2_2 63 + sky130_fd_sc_hd__and2b_2 12 + sky130_fd_sc_hd__and3_2 72 + sky130_fd_sc_hd__and3b_2 28 + sky130_fd_sc_hd__and4_2 20 + sky130_fd_sc_hd__and4b_2 17 + sky130_fd_sc_hd__and4bb_2 4 sky130_fd_sc_hd__buf_2 17 - sky130_fd_sc_hd__dfxtp_2 145 - sky130_fd_sc_hd__inv_2 23 - sky130_fd_sc_hd__mux2_1 4 - sky130_fd_sc_hd__nand2_2 73 - sky130_fd_sc_hd__nand2b_2 22 + sky130_fd_sc_hd__dfxtp_2 146 + sky130_fd_sc_hd__inv_2 27 + sky130_fd_sc_hd__mux2_1 2 + sky130_fd_sc_hd__nand2_2 66 + sky130_fd_sc_hd__nand2b_2 23 sky130_fd_sc_hd__nand3_2 7 sky130_fd_sc_hd__nand3b_2 3 - sky130_fd_sc_hd__nand4_2 10 + sky130_fd_sc_hd__nand4_2 7 sky130_fd_sc_hd__nand4b_2 8 - sky130_fd_sc_hd__nor2_2 80 - sky130_fd_sc_hd__nor3_2 6 - sky130_fd_sc_hd__nor3b_2 1 - sky130_fd_sc_hd__nor4_2 4 - sky130_fd_sc_hd__o2111a_2 5 - sky130_fd_sc_hd__o211a_2 27 - sky130_fd_sc_hd__o211ai_2 7 - sky130_fd_sc_hd__o21a_2 23 - sky130_fd_sc_hd__o21ai_2 61 - sky130_fd_sc_hd__o21ba_2 1 - sky130_fd_sc_hd__o21bai_2 3 - sky130_fd_sc_hd__o221a_2 31 - sky130_fd_sc_hd__o221ai_2 2 - sky130_fd_sc_hd__o22a_2 63 - sky130_fd_sc_hd__o22ai_2 28 - sky130_fd_sc_hd__o311a_2 3 - sky130_fd_sc_hd__o311ai_2 1 - sky130_fd_sc_hd__o31a_2 12 + sky130_fd_sc_hd__nor2_2 89 + sky130_fd_sc_hd__nor3_2 10 + sky130_fd_sc_hd__nor3b_2 3 + sky130_fd_sc_hd__nor4_2 2 + sky130_fd_sc_hd__nor4b_2 1 + sky130_fd_sc_hd__o2111a_2 12 + sky130_fd_sc_hd__o211a_2 26 + sky130_fd_sc_hd__o211ai_2 3 + sky130_fd_sc_hd__o21a_2 38 + sky130_fd_sc_hd__o21ai_2 39 + sky130_fd_sc_hd__o21ba_2 6 + sky130_fd_sc_hd__o221a_2 18 + sky130_fd_sc_hd__o221ai_2 4 + sky130_fd_sc_hd__o22a_2 81 + sky130_fd_sc_hd__o22ai_2 20 + sky130_fd_sc_hd__o311a_2 6 + sky130_fd_sc_hd__o31a_2 7 sky130_fd_sc_hd__o31ai_2 1 sky130_fd_sc_hd__o32a_2 2 - sky130_fd_sc_hd__o41a_2 2 - sky130_fd_sc_hd__or2_2 61 - sky130_fd_sc_hd__or3_2 18 - sky130_fd_sc_hd__or3b_2 14 - sky130_fd_sc_hd__or4_2 33 - sky130_fd_sc_hd__or4b_2 25 - sky130_fd_sc_hd__or4bb_2 16 - sky130_fd_sc_hd__xnor2_2 33 - sky130_fd_sc_hd__xor2_2 10 + sky130_fd_sc_hd__or2_2 68 + sky130_fd_sc_hd__or3_2 15 + sky130_fd_sc_hd__or3b_2 3 + sky130_fd_sc_hd__or4_2 20 + sky130_fd_sc_hd__or4b_2 20 + sky130_fd_sc_hd__or4bb_2 17 + sky130_fd_sc_hd__xnor2_2 37 + sky130_fd_sc_hd__xor2_2 12 - Chip area for module '\tt_um_whynot': 13177.638400 + Chip area for module '\tt_um_whynot': 13235.193600 diff --git a/projects/tt_um_whynot/tt_um_whynot.gds b/projects/tt_um_whynot/tt_um_whynot.gds index 57eb364..cc96ed9 100644 Binary files a/projects/tt_um_whynot/tt_um_whynot.gds and b/projects/tt_um_whynot/tt_um_whynot.gds differ diff --git a/projects/tt_um_whynot/tt_um_whynot.lef b/projects/tt_um_whynot/tt_um_whynot.lef index 8940bb3..c3efc7c 100644 --- a/projects/tt_um_whynot/tt_um_whynot.lef +++ b/projects/tt_um_whynot/tt_um_whynot.lef @@ -442,13 +442,12 @@ MACRO tt_um_whynot LAYER li1 ; RECT 2.760 2.635 158.240 108.885 ; LAYER met1 ; - RECT 2.760 2.480 159.550 110.800 ; + RECT 2.760 1.740 159.090 110.120 ; LAYER met2 ; - RECT 3.780 2.535 159.520 110.830 ; + RECT 4.240 1.710 159.070 110.150 ; LAYER met3 ; - RECT 4.205 2.555 157.255 111.340 ; + RECT 6.965 2.555 159.095 111.340 ; LAYER met4 ; - RECT 20.535 110.120 30.270 111.345 ; RECT 31.370 110.120 33.030 111.345 ; RECT 34.130 110.120 35.790 111.345 ; RECT 36.890 110.120 38.550 111.345 ; @@ -491,16 +490,15 @@ MACRO tt_um_whynot RECT 139.010 110.120 140.670 111.345 ; RECT 141.770 110.120 143.430 111.345 ; RECT 144.530 110.120 146.190 111.345 ; - RECT 147.290 110.120 153.345 111.345 ; - RECT 20.535 109.440 153.345 110.120 ; - RECT 20.535 30.775 21.180 109.440 ; - RECT 23.580 30.775 56.750 109.440 ; - RECT 59.150 30.775 60.050 109.440 ; - RECT 62.450 30.775 95.620 109.440 ; - RECT 98.020 30.775 98.920 109.440 ; - RECT 101.320 30.775 134.490 109.440 ; - RECT 136.890 30.775 137.790 109.440 ; - RECT 140.190 30.775 153.345 109.440 ; + RECT 147.290 110.120 151.505 111.345 ; + RECT 30.655 109.440 151.505 110.120 ; + RECT 30.655 39.615 56.750 109.440 ; + RECT 59.150 39.615 60.050 109.440 ; + RECT 62.450 39.615 95.620 109.440 ; + RECT 98.020 39.615 98.920 109.440 ; + RECT 101.320 39.615 134.490 109.440 ; + RECT 136.890 39.615 137.790 109.440 ; + RECT 140.190 39.615 151.505 109.440 ; END END tt_um_whynot END LIBRARY diff --git a/projects/tt_um_whynot/tt_um_whynot.v b/projects/tt_um_whynot/tt_um_whynot.v index c1f47df..f77a86a 100644 --- a/projects/tt_um_whynot/tt_um_whynot.v +++ b/projects/tt_um_whynot/tt_um_whynot.v @@ -1112,6 +1112,14 @@ module tt_um_whynot (clk, wire _1090_; wire _1091_; wire _1092_; + wire _1093_; + wire _1094_; + wire _1095_; + wire _1096_; + wire _1097_; + wire _1098_; + wire _1099_; + wire _1100_; wire \main._w_demo_audio1 ; wire \main._w_demo_video_hs ; wire \main._w_demo_video_vs ; @@ -1144,6 +1152,7 @@ module tt_um_whynot (clk, wire \main.demo._q_frame[6] ; wire \main.demo._q_frame[7] ; wire \main.demo._q_frame[8] ; + wire \main.demo._q_frame[9] ; wire \main.demo._q_prev_hs ; wire \main.demo._q_prev_vs ; wire \main.demo._q_uT[0] ; @@ -1263,7 +1272,7 @@ module tt_um_whynot (clk, wire \main.demo.zic._q_squ_env[5] ; wire clknet_0_clk; wire rst_n_q; - wire net135; + wire net140; wire net1; wire net2; wire net3; @@ -1398,6 +1407,11 @@ module tt_um_whynot (clk, wire net132; wire net133; wire net134; + wire net135; + wire net136; + wire net137; + wire net138; + wire net139; wire clknet_4_0_0_clk; wire clknet_4_1_0_clk; wire clknet_4_2_0_clk; @@ -1414,11 +1428,6 @@ module tt_um_whynot (clk, wire clknet_4_13_0_clk; wire clknet_4_14_0_clk; wire clknet_4_15_0_clk; - wire net136; - wire net137; - wire net138; - wire net139; - wire net140; wire net141; wire net142; wire net143; @@ -1473,198 +1482,170 @@ module tt_um_whynot (clk, wire net192; wire net193; wire net194; - wire net195; - wire net196; - wire net197; - sky130_fd_sc_hd__inv_2 _1093_ (.A(\main.demo._q_vT[8] ), + sky130_fd_sc_hd__inv_2 _1101_ (.A(\main.demo._q_vT[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0413_)); - sky130_fd_sc_hd__inv_2 _1094_ (.A(\main.demo.zic._q_squ_env[5] ), + .Y(_0422_)); + sky130_fd_sc_hd__inv_2 _1102_ (.A(\main.demo._q_vT[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0414_)); - sky130_fd_sc_hd__inv_2 _1095_ (.A(\main.demo.zic._q_qpos[12] ), + .Y(_0423_)); + sky130_fd_sc_hd__inv_2 _1103_ (.A(\main.demo._q_vT[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0415_)); - sky130_fd_sc_hd__inv_2 _1096_ (.A(\main.demo.zic._q_idx[1] ), + .Y(_0424_)); + sky130_fd_sc_hd__inv_2 _1104_ (.A(\main.demo.zic._q_squ_env[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0416_)); - sky130_fd_sc_hd__inv_2 _1097_ (.A(net120), + .Y(_0425_)); + sky130_fd_sc_hd__inv_2 _1105_ (.A(\main.demo.zic._q_squ_env[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0417_)); - sky130_fd_sc_hd__inv_2 _1098_ (.A(\main.demo._q_addr[0] ), + .Y(_0426_)); + sky130_fd_sc_hd__inv_2 _1106_ (.A(\main.demo.zic._q_qpos[12] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0418_)); - sky130_fd_sc_hd__inv_2 _1099_ (.A(net111), + .Y(_0427_)); + sky130_fd_sc_hd__inv_2 _1107_ (.A(\main.demo.zic._q_qpos[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0419_)); - sky130_fd_sc_hd__inv_2 _1100_ (.A(net106), + .Y(_0428_)); + sky130_fd_sc_hd__inv_2 _1108_ (.A(\main.demo.zic._q_idx[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0420_)); - sky130_fd_sc_hd__inv_2 _1101_ (.A(net109), + .Y(_0429_)); + sky130_fd_sc_hd__inv_2 _1109_ (.A(net121), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0421_)); - sky130_fd_sc_hd__inv_2 _1102_ (.A(\main.demo._q_frame[2] ), + .Y(_0430_)); + sky130_fd_sc_hd__inv_2 _1110_ (.A(\main.demo._q_frame[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0422_)); - sky130_fd_sc_hd__inv_2 _1103_ (.A(\main.demo._q_frame[3] ), + .Y(_0431_)); + sky130_fd_sc_hd__inv_2 _1111_ (.A(\main.demo._q_uT[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0423_)); - sky130_fd_sc_hd__inv_2 _1104_ (.A(\main.demo._q_frame[8] ), + .Y(_0432_)); + sky130_fd_sc_hd__or2_1 _1112_ (.A(\main.demo.vga._q_xcount[0] ), + .B(\main.demo.vga._q_xcount[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0424_)); - sky130_fd_sc_hd__inv_2 _1105_ (.A(\main.demo._q_u[0] ), + .X(_0433_)); + sky130_fd_sc_hd__or3_1 _1113_ (.A(\main.demo.vga._q_xcount[2] ), + .B(\main.demo.vga._q_xcount[3] ), + .C(_0433_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0425_)); - sky130_fd_sc_hd__inv_2 _1106_ (.A(net197), + .X(_0434_)); + sky130_fd_sc_hd__nor4_1 _1114_ (.A(\main.demo.vga._q_xcount[4] ), + .B(\main.demo.vga._q_xcount[5] ), + .C(\main.demo.vga._q_xcount[6] ), + .D(_0434_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0426_)); - sky130_fd_sc_hd__nor2_1 _1107_ (.A(\main.demo.vga._q_xcount[8] ), + .Y(_0435_)); + sky130_fd_sc_hd__nor2_1 _1115_ (.A(\main.demo.vga._q_xcount[8] ), .B(\main.demo.vga._q_xcount[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0427_)); - sky130_fd_sc_hd__and3_1 _1108_ (.A(\main.demo.vga._q_xcount[7] ), + .Y(_0436_)); + sky130_fd_sc_hd__and4_1 _1116_ (.A(\main.demo.vga._q_xcount[7] ), .B(\main.demo.vga._q_xcount[9] ), - .C(_0427_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0428_)); - sky130_fd_sc_hd__or2_1 _1109_ (.A(\main.demo.vga._q_xcount[1] ), - .B(\main.demo.vga._q_xcount[0] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0429_)); - sky130_fd_sc_hd__or3_1 _1110_ (.A(\main.demo.vga._q_xcount[3] ), - .B(\main.demo.vga._q_xcount[2] ), - .C(_0429_), + .C(_0435_), + .D(_0436_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0430_)); - sky130_fd_sc_hd__nor4_1 _1111_ (.A(\main.demo.vga._q_xcount[5] ), - .B(\main.demo.vga._q_xcount[4] ), - .C(\main.demo.vga._q_xcount[6] ), - .D(_0430_), + .X(_0437_)); + sky130_fd_sc_hd__inv_2 _1117_ (.A(net4), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0431_)); - sky130_fd_sc_hd__and2_2 _1112_ (.A(_0428_), - .B(_0431_), + .Y(_0438_)); + sky130_fd_sc_hd__nor2_1 _1118_ (.A(\main.demo.vga._q_xcount[7] ), + .B(\main.demo.vga._q_xcount[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0432_)); - sky130_fd_sc_hd__nand2_2 _1113_ (.A(_0428_), - .B(net7), + .Y(_0439_)); + sky130_fd_sc_hd__a32o_1 _1119_ (.A1(_0435_), + .A2(_0436_), + .A3(_0439_), + .B1(_0438_), + .B2(\main.demo.vga._q_active_h ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0433_)); - sky130_fd_sc_hd__nor2_1 _1114_ (.A(\main.demo.vga._q_xcount[7] ), - .B(\main.demo.vga._q_xcount[9] ), + .X(_0440_)); + sky130_fd_sc_hd__and3_1 _1120_ (.A(\main.demo.vga._q_ycount[8] ), + .B(\main.demo.vga._q_ycount[7] ), + .C(\main.demo.vga._q_ycount[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0434_)); - sky130_fd_sc_hd__a32o_1 _1115_ (.A1(_0427_), - .A2(_0431_), - .A3(_0434_), - .B1(_0433_), - .B2(\main.demo.vga._q_active_h ), + .X(_0441_)); + sky130_fd_sc_hd__nand2_1 _1121_ (.A(\main.demo.vga._q_ycount[5] ), + .B(_0441_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0435_)); - sky130_fd_sc_hd__or4_1 _1116_ (.A(\main.demo.vga._q_ycount[3] ), + .Y(_0442_)); + sky130_fd_sc_hd__or4_1 _1122_ (.A(\main.demo.vga._q_ycount[3] ), .B(\main.demo.vga._q_ycount[2] ), .C(\main.demo.vga._q_ycount[1] ), - .D(\main.demo.vga._q_ycount[0] ), + .D(net125), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0436_)); - sky130_fd_sc_hd__nand2_1 _1117_ (.A(\main.demo.vga._q_ycount[7] ), - .B(\main.demo.vga._q_ycount[6] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_0437_)); - sky130_fd_sc_hd__or4bb_1 _1118_ (.A(\main.demo.vga._q_ycount[4] ), + .X(_0443_)); + sky130_fd_sc_hd__or4_1 _1123_ (.A(\main.demo.vga._q_ycount[4] ), .B(\main.demo.vga._d_vblank ), - .C_N(\main.demo.vga._q_ycount[8] ), - .D_N(\main.demo.vga._q_ycount[5] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0438_)); - sky130_fd_sc_hd__or3_1 _1119_ (.A(_0436_), - .B(_0437_), - .C(_0438_), + .C(_0442_), + .D(_0443_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0439_)); - sky130_fd_sc_hd__or4_1 _1120_ (.A(\main.demo.vga._q_ycount[8] ), + .X(_0444_)); + sky130_fd_sc_hd__or4_1 _1124_ (.A(\main.demo.vga._q_ycount[8] ), .B(\main.demo.vga._q_ycount[7] ), .C(\main.demo.vga._q_ycount[6] ), .D(\main.demo.vga._q_ycount[5] ), @@ -1672,32 +1653,32 @@ module tt_um_whynot (clk, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0440_)); - sky130_fd_sc_hd__or4_1 _1121_ (.A(\main.demo.vga._q_ycount[4] ), + .X(_0445_)); + sky130_fd_sc_hd__or4_1 _1125_ (.A(\main.demo.vga._q_ycount[4] ), .B(\main.demo.vga._d_vblank ), - .C(_0436_), - .D(_0440_), + .C(_0443_), + .D(_0445_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0441_)); - sky130_fd_sc_hd__a21bo_1 _1122_ (.A1(\main.demo.vga._q_active_v ), - .A2(_0439_), - .B1_N(_0441_), + .X(_0446_)); + sky130_fd_sc_hd__a21bo_1 _1126_ (.A1(\main.demo.vga._q_active_v ), + .A2(_0444_), + .B1_N(_0446_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0442_)); - sky130_fd_sc_hd__and2_1 _1123_ (.A(_0435_), - .B(_0442_), + .X(_0447_)); + sky130_fd_sc_hd__and2_1 _1127_ (.A(_0440_), + .B(_0447_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(\main.demo.vga._d_active )); - sky130_fd_sc_hd__or4_1 _1124_ (.A(\main.demo.zic._q_clock_count[3] ), + sky130_fd_sc_hd__or4_1 _1128_ (.A(\main.demo.zic._q_clock_count[3] ), .B(\main.demo.zic._q_clock_count[2] ), .C(\main.demo.zic._q_clock_count[5] ), .D(\main.demo.zic._q_clock_count[4] ), @@ -1705,1923 +1686,1836 @@ module tt_um_whynot (clk, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0443_)); - sky130_fd_sc_hd__a21oi_1 _1125_ (.A1(\main.demo.zic._q_squ_env[3] ), - .A2(_0415_), + .X(_0448_)); + sky130_fd_sc_hd__a21oi_1 _1129_ (.A1(\main.demo.zic._q_squ_env[3] ), + .A2(_0427_), .B1(\main.demo.zic._q_squ_env[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0444_)); - sky130_fd_sc_hd__a31o_1 _1126_ (.A1(\main.demo.zic._q_squ_env[4] ), + .Y(_0449_)); + sky130_fd_sc_hd__a31o_1 _1130_ (.A1(\main.demo.zic._q_squ_env[4] ), .A2(\main.demo.zic._q_squ_env[3] ), - .A3(_0415_), + .A3(_0427_), .B1(\main.demo.zic._q_clock_count[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0445_)); - sky130_fd_sc_hd__or2_1 _1127_ (.A(_0444_), - .B(_0445_), + .X(_0450_)); + sky130_fd_sc_hd__or2_1 _1131_ (.A(_0449_), + .B(_0450_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0446_)); - sky130_fd_sc_hd__or2_1 _1128_ (.A(\main.demo.zic._q_clock_count[1] ), - .B(_0446_), + .X(_0451_)); + sky130_fd_sc_hd__or2_1 _1132_ (.A(\main.demo.zic._q_clock_count[1] ), + .B(_0451_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0447_)); - sky130_fd_sc_hd__o21a_1 _1129_ (.A1(_0443_), - .A2(_0447_), + .X(_0452_)); + sky130_fd_sc_hd__o21a_1 _1133_ (.A1(_0448_), + .A2(_0452_), .B1(\main.demo.zic._q_clock_count[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0448_)); - sky130_fd_sc_hd__o31a_1 _1130_ (.A1(\main.demo.zic._q_squ_env[5] ), + .X(_0453_)); + sky130_fd_sc_hd__o31a_1 _1134_ (.A1(\main.demo.zic._q_squ_env[5] ), .A2(\main.demo.zic._q_squ_env[4] ), .A3(\main.demo.zic._q_squ_env[3] ), - .B1(_0415_), + .B1(_0427_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0449_)); - sky130_fd_sc_hd__o21a_1 _1131_ (.A1(\main.demo.zic._q_squ_env[4] ), + .X(_0454_)); + sky130_fd_sc_hd__o21a_1 _1135_ (.A1(\main.demo.zic._q_squ_env[4] ), .A2(\main.demo.zic._q_squ_env[3] ), .B1(\main.demo.zic._q_squ_env[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0450_)); - sky130_fd_sc_hd__o32a_1 _1132_ (.A1(_0414_), - .A2(_0415_), - .A3(_0443_), - .B1(_0450_), + .X(_0455_)); + sky130_fd_sc_hd__o32a_1 _1136_ (.A1(_0425_), + .A2(_0427_), + .A3(_0448_), + .B1(_0455_), .B2(\main.demo.zic._q_clock_count[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0451_)); - sky130_fd_sc_hd__a21o_1 _1133_ (.A1(\main.demo.zic._q_clock_count[1] ), - .A2(_0446_), - .B1(_0451_), + .X(_0456_)); + sky130_fd_sc_hd__a21o_1 _1137_ (.A1(\main.demo.zic._q_clock_count[1] ), + .A2(_0451_), + .B1(_0456_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0452_)); - sky130_fd_sc_hd__and3_1 _1134_ (.A(\main.demo.zic._q_clock_count[3] ), + .X(_0457_)); + sky130_fd_sc_hd__and3_1 _1138_ (.A(\main.demo.zic._q_clock_count[3] ), .B(\main.demo.zic._q_clock_count[2] ), .C(\main.demo.zic._q_clock_count[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0453_)); - sky130_fd_sc_hd__a31o_1 _1135_ (.A1(\main.demo.zic._q_clock_count[5] ), - .A2(_0447_), - .A3(_0453_), + .X(_0458_)); + sky130_fd_sc_hd__a31o_1 _1139_ (.A1(\main.demo.zic._q_clock_count[5] ), + .A2(_0452_), + .A3(_0458_), .B1(\main.demo.zic._q_clock_count[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0454_)); - sky130_fd_sc_hd__o211ai_1 _1136_ (.A1(_0448_), - .A2(_0449_), - .B1(_0452_), - .C1(_0454_), + .X(_0459_)); + sky130_fd_sc_hd__o211ai_1 _1140_ (.A1(_0453_), + .A2(_0454_), + .B1(_0457_), + .C1(_0459_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(\main._w_demo_audio1 )); - sky130_fd_sc_hd__and2b_1 _1137_ (.A_N(\main.demo._q_vT[9] ), + sky130_fd_sc_hd__and2_1 _1141_ (.A(_0422_), .B(\main.demo._q_u[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0455_)); - sky130_fd_sc_hd__nand2_2 _1138_ (.A(_0413_), - .B(\main.demo._q_u[4] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_0456_)); - sky130_fd_sc_hd__or2_1 _1139_ (.A(_0413_), - .B(\main.demo._q_u[4] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0457_)); - sky130_fd_sc_hd__and2b_1 _1140_ (.A_N(\main.demo._q_vT[7] ), - .B(\main.demo._q_u[3] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0458_)); - sky130_fd_sc_hd__and2b_1 _1141_ (.A_N(\main.demo._q_u[3] ), - .B(\main.demo._q_vT[7] ), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0459_)); - sky130_fd_sc_hd__or2_1 _1142_ (.A(_0458_), - .B(_0459_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), .X(_0460_)); - sky130_fd_sc_hd__and2b_1 _1143_ (.A_N(\main.demo._q_u[2] ), - .B(\main.demo._q_vT[6] ), + sky130_fd_sc_hd__and2b_1 _1142_ (.A_N(\main.demo._q_vT[8] ), + .B(\main.demo._q_u[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0461_)); - sky130_fd_sc_hd__nand2b_1 _1144_ (.A_N(\main.demo._q_vT[6] ), - .B(\main.demo._q_u[2] ), + sky130_fd_sc_hd__nand2b_1 _1143_ (.A_N(\main.demo._q_u[4] ), + .B(\main.demo._q_vT[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0462_)); - sky130_fd_sc_hd__nand2b_1 _1145_ (.A_N(\main.demo._q_vT[5] ), - .B(\main.demo._q_u[1] ), + sky130_fd_sc_hd__nand2b_1 _1144_ (.A_N(_0461_), + .B(_0462_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0463_)); - sky130_fd_sc_hd__and2b_1 _1146_ (.A_N(\main.demo._q_u[1] ), - .B(\main.demo._q_vT[5] ), + sky130_fd_sc_hd__xnor2_1 _1145_ (.A(\main.demo._q_vT[7] ), + .B(\main.demo._q_u[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0464_)); - sky130_fd_sc_hd__a311o_1 _1147_ (.A1(\main.demo._q_vT[4] ), - .A2(_0425_), - .A3(_0463_), - .B1(_0464_), - .C1(_0461_), + .Y(_0464_)); + sky130_fd_sc_hd__or2_1 _1146_ (.A(_0424_), + .B(\main.demo._q_u[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0465_)); - sky130_fd_sc_hd__a21oi_2 _1148_ (.A1(_0462_), - .A2(_0465_), - .B1(_0460_), + sky130_fd_sc_hd__nand2b_1 _1147_ (.A_N(\main.demo._q_u[1] ), + .B(\main.demo._q_vT[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0466_)); - sky130_fd_sc_hd__o211ai_4 _1149_ (.A1(_0458_), - .A2(_0466_), - .B1(_0456_), - .C1(_0457_), + sky130_fd_sc_hd__nand2b_1 _1148_ (.A_N(\main.demo._q_u[0] ), + .B(\main.demo._q_vT[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0467_)); - sky130_fd_sc_hd__and2b_1 _1150_ (.A_N(\main.demo._q_u[5] ), - .B(\main.demo._q_vT[9] ), + sky130_fd_sc_hd__and2b_1 _1149_ (.A_N(\main.demo._q_vT[5] ), + .B(\main.demo._q_u[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0468_)); - sky130_fd_sc_hd__a211oi_1 _1151_ (.A1(_0456_), - .A2(_0467_), - .B1(_0468_), - .C1(_0455_), + sky130_fd_sc_hd__a221o_1 _1150_ (.A1(_0424_), + .A2(\main.demo._q_u[2] ), + .B1(_0466_), + .B2(_0467_), + .C1(_0468_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0469_)); - sky130_fd_sc_hd__a211o_1 _1152_ (.A1(_0456_), - .A2(_0467_), - .B1(_0468_), - .C1(_0455_), + .X(_0469_)); + sky130_fd_sc_hd__nand2_1 _1151_ (.A(_0465_), + .B(_0469_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0470_)); - sky130_fd_sc_hd__nand2b_1 _1153_ (.A_N(\main.demo._q_vT[10] ), - .B(\main.demo._q_u[6] ), + .Y(_0470_)); + sky130_fd_sc_hd__a32o_1 _1152_ (.A1(_0464_), + .A2(_0465_), + .A3(_0469_), + .B1(\main.demo._q_u[3] ), + .B2(_0423_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0471_)); - sky130_fd_sc_hd__nand2b_1 _1154_ (.A_N(\main.demo._q_u[6] ), - .B(\main.demo._q_vT[10] ), + .X(_0471_)); + sky130_fd_sc_hd__a21o_1 _1153_ (.A1(_0462_), + .A2(_0471_), + .B1(_0461_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0472_)); - sky130_fd_sc_hd__o211ai_1 _1155_ (.A1(_0455_), - .A2(_0469_), - .B1(_0471_), - .C1(_0472_), + .X(_0472_)); + sky130_fd_sc_hd__or2_1 _1154_ (.A(_0422_), + .B(\main.demo._q_u[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0473_)); - sky130_fd_sc_hd__a211o_1 _1156_ (.A1(_0471_), - .A2(_0472_), - .B1(_0455_), - .C1(_0469_), + .X(_0473_)); + sky130_fd_sc_hd__nand2b_1 _1155_ (.A_N(_0460_), + .B(_0473_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0474_)); - sky130_fd_sc_hd__and3_1 _1157_ (.A(\main.demo._q_frame[6] ), - .B(_0473_), - .C(_0474_), + .Y(_0474_)); + sky130_fd_sc_hd__a21oi_1 _1156_ (.A1(_0472_), + .A2(_0473_), + .B1(_0460_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0475_)); - sky130_fd_sc_hd__a21o_1 _1158_ (.A1(_0473_), - .A2(_0474_), - .B1(\main.demo._q_frame[6] ), + .Y(_0475_)); + sky130_fd_sc_hd__and2b_1 _1157_ (.A_N(\main.demo._q_vT[10] ), + .B(\main.demo._q_u[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0476_)); - sky130_fd_sc_hd__and2b_1 _1159_ (.A_N(_0475_), - .B(_0476_), + sky130_fd_sc_hd__and2b_1 _1158_ (.A_N(\main.demo._q_u[6] ), + .B(\main.demo._q_vT[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0477_)); - sky130_fd_sc_hd__o211ai_1 _1160_ (.A1(_0455_), - .A2(_0468_), - .B1(_0467_), - .C1(_0456_), + sky130_fd_sc_hd__nor2_1 _1159_ (.A(_0476_), + .B(_0477_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0478_)); - sky130_fd_sc_hd__and3_1 _1161_ (.A(\main.demo._q_frame[5] ), - .B(_0470_), - .C(_0478_), + sky130_fd_sc_hd__xnor2_1 _1160_ (.A(_0475_), + .B(_0478_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0479_)); - sky130_fd_sc_hd__a21o_1 _1162_ (.A1(_0470_), - .A2(_0478_), - .B1(\main.demo._q_frame[5] ), + .Y(_0479_)); + sky130_fd_sc_hd__and2_1 _1161_ (.A(\main.demo._q_frame[6] ), + .B(_0479_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0480_)); - sky130_fd_sc_hd__nand2b_1 _1163_ (.A_N(_0479_), - .B(_0480_), + sky130_fd_sc_hd__xor2_1 _1162_ (.A(\main.demo._q_frame[6] ), + .B(_0479_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0481_)); - sky130_fd_sc_hd__a211o_1 _1164_ (.A1(_0456_), - .A2(_0457_), - .B1(_0458_), - .C1(_0466_), + .X(_0481_)); + sky130_fd_sc_hd__xnor2_1 _1163_ (.A(_0472_), + .B(_0474_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0482_)); - sky130_fd_sc_hd__and3_1 _1165_ (.A(\main.demo._q_frame[4] ), - .B(_0467_), - .C(_0482_), + .Y(_0482_)); + sky130_fd_sc_hd__and2_1 _1164_ (.A(\main.demo._q_frame[5] ), + .B(_0482_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0483_)); - sky130_fd_sc_hd__and3_1 _1166_ (.A(_0460_), - .B(_0462_), - .C(_0465_), + sky130_fd_sc_hd__or2_1 _1165_ (.A(\main.demo._q_frame[5] ), + .B(_0482_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0484_)); - sky130_fd_sc_hd__nor2_1 _1167_ (.A(_0466_), + sky130_fd_sc_hd__nand2b_1 _1166_ (.A_N(_0483_), .B(_0484_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0485_)); - sky130_fd_sc_hd__nand2_1 _1168_ (.A(\main.demo._q_frame[3] ), - .B(_0485_), + sky130_fd_sc_hd__xnor2_1 _1167_ (.A(_0463_), + .B(_0471_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0486_)); - sky130_fd_sc_hd__a21oi_1 _1169_ (.A1(_0467_), - .A2(_0482_), - .B1(\main.demo._q_frame[4] ), + sky130_fd_sc_hd__nand2_1 _1168_ (.A(\main.demo._q_frame[4] ), + .B(_0486_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0487_)); - sky130_fd_sc_hd__or3_1 _1170_ (.A(_0483_), - .B(_0486_), - .C(_0487_), + sky130_fd_sc_hd__xnor2_1 _1169_ (.A(_0464_), + .B(_0470_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0488_)); - sky130_fd_sc_hd__o21bai_1 _1171_ (.A1(_0486_), - .A2(_0487_), - .B1_N(_0483_), + .Y(_0488_)); + sky130_fd_sc_hd__nand2_1 _1170_ (.A(\main.demo._q_frame[3] ), + .B(_0488_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0489_)); - sky130_fd_sc_hd__a21o_1 _1172_ (.A1(_0480_), - .A2(_0489_), - .B1(_0479_), + sky130_fd_sc_hd__xnor2_1 _1171_ (.A(\main.demo._q_frame[4] ), + .B(_0486_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0490_)); - sky130_fd_sc_hd__xnor2_1 _1173_ (.A(_0477_), + .Y(_0490_)); + sky130_fd_sc_hd__or2_1 _1172_ (.A(_0489_), .B(_0490_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0491_)); - sky130_fd_sc_hd__a21oi_1 _1174_ (.A1(_0476_), + .X(_0491_)); + sky130_fd_sc_hd__o21ai_1 _1173_ (.A1(_0489_), .A2(_0490_), - .B1(_0475_), + .B1(_0487_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0492_)); - sky130_fd_sc_hd__nand2_1 _1175_ (.A(_0471_), - .B(_0473_), + sky130_fd_sc_hd__a21o_1 _1174_ (.A1(_0484_), + .A2(_0492_), + .B1(_0483_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0493_)); - sky130_fd_sc_hd__xor2_1 _1176_ (.A(\main.demo._q_frame[7] ), - .B(\main.demo._q_u[7] ), + .X(_0493_)); + sky130_fd_sc_hd__xnor2_1 _1175_ (.A(_0481_), + .B(_0493_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0494_)); - sky130_fd_sc_hd__xnor2_1 _1177_ (.A(\main.demo._q_vT[11] ), - .B(_0494_), + .Y(_0494_)); + sky130_fd_sc_hd__a21oi_1 _1176_ (.A1(_0481_), + .A2(_0493_), + .B1(_0480_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0495_)); - sky130_fd_sc_hd__xnor2_1 _1178_ (.A(_0493_), - .B(_0495_), + sky130_fd_sc_hd__o21ba_1 _1177_ (.A1(_0475_), + .A2(_0477_), + .B1_N(_0476_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0496_)); - sky130_fd_sc_hd__xnor2_2 _1179_ (.A(_0492_), - .B(_0496_), + .X(_0496_)); + sky130_fd_sc_hd__xnor2_1 _1178_ (.A(\main.demo._q_frame[7] ), + .B(\main.demo._q_u[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0497_)); - sky130_fd_sc_hd__or2_2 _1180_ (.A(_0491_), + sky130_fd_sc_hd__xnor2_1 _1179_ (.A(\main.demo._q_vT[11] ), .B(_0497_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0498_)); - sky130_fd_sc_hd__or2_1 _1181_ (.A(\main.demo._q_frame[3] ), - .B(_0485_), + .Y(_0498_)); + sky130_fd_sc_hd__xnor2_1 _1180_ (.A(_0496_), + .B(_0498_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0499_)); - sky130_fd_sc_hd__and3_1 _1182_ (.A(_0486_), - .B(_0498_), - .C(_0499_), + .Y(_0499_)); + sky130_fd_sc_hd__xnor2_2 _1181_ (.A(_0495_), + .B(_0499_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(\main.demo._d_addr[0] )); - sky130_fd_sc_hd__o21ai_1 _1183_ (.A1(_0483_), - .A2(_0487_), - .B1(_0486_), + .Y(_0500_)); + sky130_fd_sc_hd__nor2_1 _1182_ (.A(_0494_), + .B(_0500_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0500_)); - sky130_fd_sc_hd__and3_1 _1184_ (.A(_0488_), - .B(_0498_), - .C(_0500_), + .Y(_0501_)); + sky130_fd_sc_hd__or2_2 _1183_ (.A(_0494_), + .B(_0500_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(\main.demo._d_addr[1] )); - sky130_fd_sc_hd__xnor2_1 _1185_ (.A(_0481_), - .B(_0489_), + .X(_0502_)); + sky130_fd_sc_hd__or2_1 _1184_ (.A(\main.demo._q_frame[3] ), + .B(_0488_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0501_)); - sky130_fd_sc_hd__and2_1 _1186_ (.A(_0498_), - .B(_0501_), + .X(_0503_)); + sky130_fd_sc_hd__and3_1 _1185_ (.A(_0489_), + .B(_0502_), + .C(_0503_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(\main.demo._d_addr[2] )); - sky130_fd_sc_hd__or2_1 _1187_ (.A(\main.demo._q_v[3] ), - .B(\main.demo._q_uT[7] ), + .X(\main.demo._d_addr[0] )); + sky130_fd_sc_hd__nand2_1 _1186_ (.A(_0489_), + .B(_0490_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0502_)); - sky130_fd_sc_hd__nand2_1 _1188_ (.A(\main.demo._q_v[3] ), - .B(\main.demo._q_uT[7] ), + .Y(_0504_)); + sky130_fd_sc_hd__and3_1 _1187_ (.A(_0491_), + .B(_0502_), + .C(_0504_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0503_)); - sky130_fd_sc_hd__nand2_1 _1189_ (.A(_0502_), - .B(_0503_), + .X(\main.demo._d_addr[1] )); + sky130_fd_sc_hd__xor2_1 _1188_ (.A(_0485_), + .B(_0492_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0504_)); - sky130_fd_sc_hd__o211a_1 _1190_ (.A1(\main.demo._q_v[1] ), - .A2(\main.demo._q_uT[5] ), - .B1(\main.demo._q_uT[4] ), - .C1(\main.demo._q_v[0] ), + .X(_0505_)); + sky130_fd_sc_hd__nor2_1 _1189_ (.A(_0501_), + .B(_0505_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0505_)); - sky130_fd_sc_hd__a221o_1 _1191_ (.A1(\main.demo._q_v[1] ), - .A2(\main.demo._q_uT[5] ), - .B1(\main.demo._q_uT[6] ), - .B2(\main.demo._q_v[2] ), - .C1(_0505_), + .Y(\main.demo._d_addr[2] )); + sky130_fd_sc_hd__or2_1 _1190_ (.A(\main.demo._q_v[3] ), + .B(\main.demo._q_uT[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0506_)); - sky130_fd_sc_hd__o21ai_2 _1192_ (.A1(\main.demo._q_v[2] ), - .A2(\main.demo._q_uT[6] ), - .B1(_0506_), + sky130_fd_sc_hd__nand2_1 _1191_ (.A(\main.demo._q_v[3] ), + .B(\main.demo._q_uT[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0507_)); - sky130_fd_sc_hd__xnor2_2 _1193_ (.A(_0504_), + sky130_fd_sc_hd__nand2_1 _1192_ (.A(_0506_), .B(_0507_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0508_)); - sky130_fd_sc_hd__nor2_1 _1194_ (.A(_0491_), - .B(_0508_), + sky130_fd_sc_hd__o211a_1 _1193_ (.A1(\main.demo._q_v[1] ), + .A2(\main.demo._q_uT[5] ), + .B1(\main.demo._q_uT[4] ), + .C1(\main.demo._q_v[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0509_)); - sky130_fd_sc_hd__nand2_1 _1195_ (.A(_0491_), - .B(_0508_), + .X(_0509_)); + sky130_fd_sc_hd__a22o_1 _1194_ (.A1(\main.demo._q_v[1] ), + .A2(\main.demo._q_uT[5] ), + .B1(\main.demo._q_uT[6] ), + .B2(\main.demo._q_v[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0510_)); - sky130_fd_sc_hd__and2b_1 _1196_ (.A_N(_0509_), - .B(_0510_), + .X(_0510_)); + sky130_fd_sc_hd__o22a_1 _1195_ (.A1(\main.demo._q_v[2] ), + .A2(\main.demo._q_uT[6] ), + .B1(_0509_), + .B2(_0510_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0511_)); - sky130_fd_sc_hd__and2_1 _1197_ (.A(_0498_), + sky130_fd_sc_hd__xor2_2 _1196_ (.A(_0508_), .B(_0511_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(\main.demo._d_addr[3] )); - sky130_fd_sc_hd__or2_1 _1198_ (.A(\main.demo._q_v[4] ), - .B(\main.demo._q_uT[8] ), + .X(_0512_)); + sky130_fd_sc_hd__nor2_1 _1197_ (.A(_0494_), + .B(_0512_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0512_)); - sky130_fd_sc_hd__nand2_1 _1199_ (.A(\main.demo._q_v[4] ), - .B(\main.demo._q_uT[8] ), + .Y(_0513_)); + sky130_fd_sc_hd__and2_1 _1198_ (.A(_0494_), + .B(_0512_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0513_)); - sky130_fd_sc_hd__nand2_1 _1200_ (.A(_0512_), + .X(_0514_)); + sky130_fd_sc_hd__nor3_1 _1199_ (.A(_0501_), .B(_0513_), + .C(_0514_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0514_)); - sky130_fd_sc_hd__o21a_1 _1201_ (.A1(_0504_), - .A2(_0507_), - .B1(_0503_), + .Y(\main.demo._d_addr[3] )); + sky130_fd_sc_hd__or2_1 _1200_ (.A(\main.demo._q_v[4] ), + .B(\main.demo._q_uT[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0515_)); - sky130_fd_sc_hd__xor2_2 _1202_ (.A(_0514_), - .B(_0515_), + sky130_fd_sc_hd__nand2_1 _1201_ (.A(\main.demo._q_v[4] ), + .B(\main.demo._q_uT[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0516_)); - sky130_fd_sc_hd__and2b_1 _1203_ (.A_N(_0508_), + .Y(_0516_)); + sky130_fd_sc_hd__nand2_1 _1202_ (.A(_0515_), .B(_0516_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0517_)); - sky130_fd_sc_hd__xnor2_1 _1204_ (.A(_0508_), - .B(_0516_), + .Y(_0517_)); + sky130_fd_sc_hd__a21boi_2 _1203_ (.A1(_0506_), + .A2(_0511_), + .B1_N(_0507_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0518_)); - sky130_fd_sc_hd__inv_2 _1205_ (.A(_0518_), + sky130_fd_sc_hd__xor2_2 _1204_ (.A(_0517_), + .B(_0518_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0519_)); - sky130_fd_sc_hd__xnor2_1 _1206_ (.A(_0497_), - .B(_0518_), + .X(_0519_)); + sky130_fd_sc_hd__and2b_1 _1205_ (.A_N(_0512_), + .B(_0519_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0520_)); - sky130_fd_sc_hd__or2_1 _1207_ (.A(_0509_), - .B(_0520_), + .X(_0520_)); + sky130_fd_sc_hd__xnor2_1 _1206_ (.A(_0512_), + .B(_0519_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0521_)); - sky130_fd_sc_hd__nand2_1 _1208_ (.A(_0509_), - .B(_0520_), + .Y(_0521_)); + sky130_fd_sc_hd__inv_2 _1207_ (.A(_0521_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0522_)); - sky130_fd_sc_hd__and3_1 _1209_ (.A(_0498_), + sky130_fd_sc_hd__xnor2_1 _1208_ (.A(_0500_), .B(_0521_), - .C(_0522_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(\main.demo._d_addr[4] )); - sky130_fd_sc_hd__o21ba_1 _1210_ (.A1(_0497_), - .A2(_0519_), - .B1_N(_0517_), + .Y(_0523_)); + sky130_fd_sc_hd__or2_1 _1209_ (.A(_0513_), + .B(_0523_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0523_)); - sky130_fd_sc_hd__or2_1 _1211_ (.A(\main.demo._q_v[5] ), - .B(\main.demo._q_uT[9] ), + .X(_0524_)); + sky130_fd_sc_hd__nand2_1 _1210_ (.A(_0513_), + .B(_0523_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0524_)); - sky130_fd_sc_hd__nand2_1 _1212_ (.A(\main.demo._q_v[5] ), - .B(\main.demo._q_uT[9] ), + .Y(_0525_)); + sky130_fd_sc_hd__and3_1 _1211_ (.A(_0502_), + .B(_0524_), + .C(_0525_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0525_)); - sky130_fd_sc_hd__nand2_1 _1213_ (.A(_0524_), - .B(_0525_), + .X(\main.demo._d_addr[4] )); + sky130_fd_sc_hd__o21ba_1 _1212_ (.A1(_0500_), + .A2(_0522_), + .B1_N(_0520_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0526_)); - sky130_fd_sc_hd__o21ai_2 _1214_ (.A1(_0514_), - .A2(_0515_), - .B1(_0513_), + .X(_0526_)); + sky130_fd_sc_hd__or2_1 _1213_ (.A(\main.demo._q_v[5] ), + .B(\main.demo._q_uT[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0527_)); - sky130_fd_sc_hd__xnor2_2 _1215_ (.A(_0526_), - .B(_0527_), + .X(_0527_)); + sky130_fd_sc_hd__nand2_1 _1214_ (.A(\main.demo._q_v[5] ), + .B(\main.demo._q_uT[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0528_)); - sky130_fd_sc_hd__nand2b_1 _1216_ (.A_N(_0516_), + sky130_fd_sc_hd__nand2_1 _1215_ (.A(_0527_), .B(_0528_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0529_)); - sky130_fd_sc_hd__nand2_1 _1217_ (.A(_0516_), - .B(_0528_), + sky130_fd_sc_hd__o21ai_1 _1216_ (.A1(_0517_), + .A2(_0518_), + .B1(_0516_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0530_)); - sky130_fd_sc_hd__or2_1 _1218_ (.A(_0516_), - .B(_0528_), + sky130_fd_sc_hd__xnor2_1 _1217_ (.A(_0529_), + .B(_0530_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0531_)); - sky130_fd_sc_hd__nand2_1 _1219_ (.A(_0530_), + .Y(_0531_)); + sky130_fd_sc_hd__nand2b_1 _1218_ (.A_N(_0519_), .B(_0531_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0532_)); - sky130_fd_sc_hd__nor2_1 _1220_ (.A(_0523_), - .B(_0532_), + sky130_fd_sc_hd__nand2_1 _1219_ (.A(_0519_), + .B(_0531_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0533_)); - sky130_fd_sc_hd__xnor2_1 _1221_ (.A(_0523_), - .B(_0532_), + sky130_fd_sc_hd__or2_1 _1220_ (.A(_0519_), + .B(_0531_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0534_)); - sky130_fd_sc_hd__nand2_1 _1222_ (.A(_0522_), + .X(_0534_)); + sky130_fd_sc_hd__nand2_1 _1221_ (.A(_0533_), .B(_0534_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0535_)); - sky130_fd_sc_hd__o221a_1 _1223_ (.A1(_0491_), - .A2(_0497_), - .B1(_0522_), - .B2(_0534_), - .C1(_0535_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(\main.demo._d_addr[5] )); - sky130_fd_sc_hd__o21bai_1 _1224_ (.A1(_0522_), - .A2(_0534_), - .B1_N(_0533_), + sky130_fd_sc_hd__nor2_1 _1222_ (.A(_0526_), + .B(_0535_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0536_)); - sky130_fd_sc_hd__a21bo_1 _1225_ (.A1(_0524_), - .A2(_0527_), - .B1_N(_0525_), + sky130_fd_sc_hd__xnor2_1 _1223_ (.A(_0526_), + .B(_0535_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0537_)); - sky130_fd_sc_hd__nand2_1 _1226_ (.A(\main.demo._q_v[6] ), - .B(\main.demo._q_uT[10] ), + .Y(_0537_)); + sky130_fd_sc_hd__nor2_1 _1224_ (.A(_0525_), + .B(_0537_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0538_)); - sky130_fd_sc_hd__or2_1 _1227_ (.A(\main.demo._q_v[6] ), - .B(\main.demo._q_uT[10] ), + sky130_fd_sc_hd__a21o_1 _1225_ (.A1(_0525_), + .A2(_0537_), + .B1(_0501_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0539_)); - sky130_fd_sc_hd__nand2_1 _1228_ (.A(_0538_), + sky130_fd_sc_hd__nor2_1 _1226_ (.A(_0538_), .B(_0539_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0540_)); - sky130_fd_sc_hd__xnor2_2 _1229_ (.A(_0537_), - .B(_0540_), + .Y(\main.demo._d_addr[5] )); + sky130_fd_sc_hd__a21bo_1 _1227_ (.A1(_0527_), + .A2(_0530_), + .B1_N(_0528_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0540_)); + sky130_fd_sc_hd__nand2_1 _1228_ (.A(\main.demo._q_v[6] ), + .B(\main.demo._q_uT[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0541_)); - sky130_fd_sc_hd__nand2_1 _1230_ (.A(_0528_), - .B(_0541_), + sky130_fd_sc_hd__or2_1 _1229_ (.A(\main.demo._q_v[6] ), + .B(\main.demo._q_uT[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0542_)); - sky130_fd_sc_hd__xnor2_1 _1231_ (.A(_0529_), - .B(_0541_), + .X(_0542_)); + sky130_fd_sc_hd__nand2_1 _1230_ (.A(_0541_), + .B(_0542_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0543_)); - sky130_fd_sc_hd__o21ai_1 _1232_ (.A1(_0536_), - .A2(_0543_), - .B1(_0498_), + sky130_fd_sc_hd__xnor2_2 _1231_ (.A(_0540_), + .B(_0543_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0544_)); - sky130_fd_sc_hd__a21oi_1 _1233_ (.A1(_0536_), - .A2(_0543_), - .B1(_0544_), + sky130_fd_sc_hd__xnor2_1 _1232_ (.A(_0532_), + .B(_0544_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(\main.demo._d_addr[6] )); - sky130_fd_sc_hd__a2bb2o_1 _1234_ (.A1_N(_0530_), - .A2_N(_0541_), - .B1(_0543_), - .B2(_0536_), + .Y(_0545_)); + sky130_fd_sc_hd__or3_1 _1233_ (.A(_0536_), + .B(_0538_), + .C(_0545_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0545_)); - sky130_fd_sc_hd__nand2b_1 _1235_ (.A_N(_0528_), - .B(_0541_), + .X(_0546_)); + sky130_fd_sc_hd__o21ai_2 _1234_ (.A1(_0536_), + .A2(_0538_), + .B1(_0545_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0546_)); - sky130_fd_sc_hd__a21bo_1 _1236_ (.A1(_0537_), - .A2(_0539_), - .B1_N(_0538_), + .Y(_0547_)); + sky130_fd_sc_hd__and3_1 _1235_ (.A(_0502_), + .B(_0546_), + .C(_0547_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0547_)); - sky130_fd_sc_hd__xnor2_1 _1237_ (.A(\main.demo._q_v[7] ), - .B(\main.demo._q_uT[11] ), + .X(\main.demo._d_addr[6] )); + sky130_fd_sc_hd__or2_1 _1236_ (.A(_0533_), + .B(_0544_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0548_)); - sky130_fd_sc_hd__xnor2_2 _1238_ (.A(_0547_), + .X(_0548_)); + sky130_fd_sc_hd__nand2_1 _1237_ (.A(_0547_), .B(_0548_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0549_)); - sky130_fd_sc_hd__xnor2_1 _1239_ (.A(_0546_), - .B(_0549_), + sky130_fd_sc_hd__a21bo_1 _1238_ (.A1(_0540_), + .A2(_0542_), + .B1_N(_0541_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0550_)); - sky130_fd_sc_hd__o21ai_1 _1240_ (.A1(_0545_), - .A2(_0550_), - .B1(_0498_), + .X(_0550_)); + sky130_fd_sc_hd__xnor2_1 _1239_ (.A(\main.demo._q_v[7] ), + .B(\main.demo._q_uT[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0551_)); - sky130_fd_sc_hd__a21oi_1 _1241_ (.A1(_0545_), - .A2(_0550_), - .B1(_0551_), + sky130_fd_sc_hd__xnor2_2 _1240_ (.A(_0550_), + .B(_0551_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(\main.demo._d_addr[7] )); - sky130_fd_sc_hd__mux2_1 _1242_ (.A0(_0542_), - .A1(_0541_), - .S(_0549_), + .Y(_0552_)); + sky130_fd_sc_hd__inv_2 _1241_ (.A(_0552_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0552_)); - sky130_fd_sc_hd__a21bo_1 _1243_ (.A1(_0545_), - .A2(_0550_), - .B1_N(_0552_), + .Y(_0553_)); + sky130_fd_sc_hd__nand2b_1 _1242_ (.A_N(_0531_), + .B(_0544_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0553_)); - sky130_fd_sc_hd__nand3b_1 _1244_ (.A_N(_0541_), - .B(_0545_), - .C(_0549_), + .Y(_0554_)); + sky130_fd_sc_hd__xnor2_1 _1243_ (.A(_0552_), + .B(_0554_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0554_)); - sky130_fd_sc_hd__and3_1 _1245_ (.A(_0498_), - .B(_0553_), - .C(_0554_), + .Y(_0555_)); + sky130_fd_sc_hd__inv_2 _1244_ (.A(_0555_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(\main.demo._d_addr[8] )); - sky130_fd_sc_hd__o211a_1 _1246_ (.A1(_0541_), - .A2(_0545_), - .B1(_0549_), - .C1(_0498_), + .Y(_0556_)); + sky130_fd_sc_hd__a21oi_1 _1245_ (.A1(_0547_), + .A2(_0548_), + .B1(_0556_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(\main.demo._d_addr[9] )); - sky130_fd_sc_hd__and2b_2 _1247_ (.A_N(\main.demo._q_addr[8] ), - .B(\main.demo._q_addr[9] ), + .Y(_0557_)); + sky130_fd_sc_hd__a31o_1 _1246_ (.A1(_0547_), + .A2(_0548_), + .A3(_0556_), + .B1(_0501_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0555_)); - sky130_fd_sc_hd__nand2b_2 _1248_ (.A_N(\main.demo._q_addr[8] ), - .B(\main.demo._q_addr[9] ), + .X(_0558_)); + sky130_fd_sc_hd__nor2_1 _1247_ (.A(_0557_), + .B(_0558_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0556_)); - sky130_fd_sc_hd__or4b_1 _1249_ (.A(net113), - .B(net111), - .C(net109), - .D_N(net105), + .Y(\main.demo._d_addr[7] )); + sky130_fd_sc_hd__nor2_1 _1248_ (.A(_0544_), + .B(_0553_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0557_)); - sky130_fd_sc_hd__nand4b_1 _1250_ (.A_N(net97), - .B(net99), - .C(net101), - .D(net103), + .Y(_0559_)); + sky130_fd_sc_hd__and3_1 _1249_ (.A(_0531_), + .B(_0544_), + .C(_0553_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0558_)); - sky130_fd_sc_hd__nand2_1 _1251_ (.A(net105), - .B(net109), + .X(_0560_)); + sky130_fd_sc_hd__a21bo_1 _1250_ (.A1(_0547_), + .A2(_0548_), + .B1_N(_0559_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0559_)); - sky130_fd_sc_hd__nand4_1 _1252_ (.A(net114), - .B(net110), - .C(net104), - .D(net107), + .X(_0561_)); + sky130_fd_sc_hd__o311a_1 _1251_ (.A1(_0557_), + .A2(_0559_), + .A3(_0560_), + .B1(_0561_), + .C1(_0502_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0560_)); - sky130_fd_sc_hd__or4bb_2 _1253_ (.A(net100), - .B(net96), - .C_N(net98), - .D_N(net102), + .X(\main.demo._d_addr[8] )); + sky130_fd_sc_hd__o211a_1 _1252_ (.A1(_0544_), + .A2(_0549_), + .B1(_0552_), + .C1(_0502_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0561_)); - sky130_fd_sc_hd__o22a_1 _1254_ (.A1(net91), - .A2(net88), - .B1(net85), - .B2(net84), + .X(\main.demo._d_addr[9] )); + sky130_fd_sc_hd__and2b_1 _1253_ (.A_N(\main._w_demo_video_vs ), + .B(\main.demo._q_prev_vs ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0562_)); - sky130_fd_sc_hd__nand4b_2 _1255_ (.A_N(net107), - .B(net104), - .C(net110), - .D(net113), + sky130_fd_sc_hd__a21oi_1 _1254_ (.A1(\main.demo._q_frame[0] ), + .A2(_0562_), + .B1(net100), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0563_)); - sky130_fd_sc_hd__or4b_1 _1256_ (.A(net100), - .B(net96), - .C(net98), - .D_N(net102), + sky130_fd_sc_hd__o21a_1 _1255_ (.A1(\main.demo._q_frame[0] ), + .A2(_0562_), + .B1(_0563_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0564_)); - sky130_fd_sc_hd__or4bb_2 _1257_ (.A(net102), - .B(net96), - .C_N(net98), - .D_N(net100), + .X(_0000_)); + sky130_fd_sc_hd__nor2_1 _1256_ (.A(\main.demo._q_addr[9] ), + .B(\main.demo._q_addr[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0565_)); - sky130_fd_sc_hd__o22a_1 _1258_ (.A1(net82), - .A2(net78), - .B1(net75), - .B2(net91), + .Y(_0564_)); + sky130_fd_sc_hd__or2_2 _1257_ (.A(\main.demo._q_addr[9] ), + .B(\main.demo._q_addr[8] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0565_)); + sky130_fd_sc_hd__or4bb_1 _1258_ (.A(net106), + .B(net103), + .C_N(net102), + .D_N(net107), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0566_)); - sky130_fd_sc_hd__or4bb_2 _1259_ (.A(net113), - .B(net111), - .C_N(net105), - .D_N(net108), + sky130_fd_sc_hd__and2_1 _1259_ (.A(net116), + .B(net113), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0567_)); - sky130_fd_sc_hd__nor2_1 _1260_ (.A(net89), - .B(net87), + sky130_fd_sc_hd__nand4_1 _1260_ (.A(net116), + .B(net113), + .C(net109), + .D(net112), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0568_)); - sky130_fd_sc_hd__o22a_1 _1261_ (.A1(net88), - .A2(net85), - .B1(net78), - .B2(net74), + sky130_fd_sc_hd__nand4b_2 _1261_ (.A_N(net117), + .B(net115), + .C(net109), + .D(net112), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0569_)); - sky130_fd_sc_hd__a31o_1 _1262_ (.A1(_0562_), - .A2(_0566_), - .A3(_0569_), - .B1(_0556_), + .Y(_0569_)); + sky130_fd_sc_hd__nand4b_1 _1262_ (.A_N(net113), + .B(net110), + .C(net111), + .D(\main.demo._q_addr[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0570_)); - sky130_fd_sc_hd__and2b_2 _1263_ (.A_N(\main.demo._q_addr[9] ), - .B(\main.demo._q_addr[8] ), + .Y(_0570_)); + sky130_fd_sc_hd__or4bb_2 _1263_ (.A(net101), + .B(net103), + .C_N(net108), + .D_N(net106), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0571_)); - sky130_fd_sc_hd__nand2b_2 _1264_ (.A_N(\main.demo._q_addr[9] ), - .B(\main.demo._q_addr[8] ), + sky130_fd_sc_hd__or2_1 _1264_ (.A(net93), + .B(net85), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0572_)); - sky130_fd_sc_hd__or4bb_2 _1265_ (.A(\main.demo._q_addr[4] ), - .B(\main.demo._q_addr[6] ), - .C_N(net97), - .D_N(net101), + .X(_0572_)); + sky130_fd_sc_hd__or4bb_1 _1265_ (.A(net107), + .B(net101), + .C_N(net104), + .D_N(net105), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0573_)); - sky130_fd_sc_hd__and4bb_1 _1266_ (.A_N(net109), - .B_N(net72), - .C(net112), - .D(net106), + sky130_fd_sc_hd__a21o_1 _1266_ (.A1(net85), + .A2(net82), + .B1(net89), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0574_)); - sky130_fd_sc_hd__or4bb_2 _1267_ (.A(net111), - .B(net109), - .C_N(net106), - .D_N(net113), + sky130_fd_sc_hd__or4b_4 _1267_ (.A(net107), + .B(net105), + .C(net101), + .D_N(net103), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0575_)); - sky130_fd_sc_hd__nand2_1 _1268_ (.A(_0418_), - .B(net110), + sky130_fd_sc_hd__or2_1 _1268_ (.A(net110), + .B(net111), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0576_)); - sky130_fd_sc_hd__or4b_1 _1269_ (.A(net114), - .B(net104), - .C(net107), - .D_N(net110), + .X(_0576_)); + sky130_fd_sc_hd__nor2_1 _1269_ (.A(net116), + .B(net113), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0577_)); - sky130_fd_sc_hd__o22ai_1 _1270_ (.A1(net71), - .A2(net69), - .B1(net67), - .B2(net75), + .Y(_0577_)); + sky130_fd_sc_hd__or4_2 _1270_ (.A(net116), + .B(net113), + .C(net110), + .D(net111), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0578_)); - sky130_fd_sc_hd__nand4b_4 _1271_ (.A_N(net104), - .B(net107), - .C(net114), - .D(net110), + .X(_0578_)); + sky130_fd_sc_hd__o22a_1 _1271_ (.A1(net94), + .A2(net85), + .B1(net81), + .B2(net78), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0579_)); - sky130_fd_sc_hd__a21o_1 _1272_ (.A1(net68), - .A2(net63), - .B1(net88), + .X(_0579_)); + sky130_fd_sc_hd__a31o_1 _1272_ (.A1(net94), + .A2(net93), + .A3(net89), + .B1(net98), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0580_)); - sky130_fd_sc_hd__or3_2 _1273_ (.A(net110), - .B(net104), - .C(net107), + sky130_fd_sc_hd__and4_1 _1273_ (.A(_0572_), + .B(_0574_), + .C(_0579_), + .D(_0580_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0581_)); - sky130_fd_sc_hd__or4_1 _1274_ (.A(net113), - .B(net111), - .C(net105), - .D(net108), + sky130_fd_sc_hd__nand3b_1 _1274_ (.A_N(net112), + .B(net109), + .C(net115), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0582_)); - sky130_fd_sc_hd__o22a_1 _1275_ (.A1(net83), - .A2(_0575_), - .B1(net62), - .B2(net90), + .Y(_0582_)); + sky130_fd_sc_hd__or4bb_2 _1275_ (.A(net116), + .B(net112), + .C_N(net109), + .D_N(net115), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0583_)); - sky130_fd_sc_hd__nand4b_1 _1276_ (.A_N(net113), - .B(net111), - .C(net105), - .D(net108), + sky130_fd_sc_hd__nand4b_2 _1276_ (.A_N(net101), + .B(net103), + .C(net107), + .D(net106), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0584_)); - sky130_fd_sc_hd__nand4b_2 _1277_ (.A_N(net99), - .B(net96), - .C(net102), - .D(net100), + sky130_fd_sc_hd__or4b_1 _1277_ (.A(net107), + .B(net106), + .C(net103), + .D_N(net101), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0585_)); - sky130_fd_sc_hd__or4bb_2 _1278_ (.A(net111), - .B(net105), - .C_N(net108), - .D_N(net113), + .X(_0585_)); + sky130_fd_sc_hd__o22a_1 _1278_ (.A1(net93), + .A2(net75), + .B1(net71), + .B2(net78), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0586_)); - sky130_fd_sc_hd__or4b_1 _1279_ (.A(net102), - .B(\main.demo._q_addr[7] ), - .C(net98), - .D_N(net100), + sky130_fd_sc_hd__o22a_1 _1279_ (.A1(net94), + .A2(_0575_), + .B1(net77), + .B2(net85), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0587_)); - sky130_fd_sc_hd__o22a_1 _1280_ (.A1(net75), - .A2(net58), - .B1(net48), - .B2(net92), + sky130_fd_sc_hd__nand2b_1 _1280_ (.A_N(\main.demo._q_addr[3] ), + .B(net112), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0588_)); - sky130_fd_sc_hd__or4bb_4 _1281_ (.A(net100), - .B(net98), - .C_N(net96), - .D_N(net102), + .Y(_0588_)); + sky130_fd_sc_hd__nand3b_1 _1281_ (.A_N(net110), + .B(net111), + .C(net113), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0589_)); - sky130_fd_sc_hd__a21o_1 _1282_ (.A1(net58), - .A2(net52), - .B1(net84), + .Y(_0589_)); + sky130_fd_sc_hd__nand4b_1 _1282_ (.A_N(net110), + .B(net111), + .C(net117), + .D(net114), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0590_)); - sky130_fd_sc_hd__o32a_1 _1283_ (.A1(net114), - .A2(_0559_), - .A3(net46), - .B1(net72), - .B2(net81), + .Y(_0590_)); + sky130_fd_sc_hd__o22ai_1 _1283_ (.A1(net82), + .A2(net77), + .B1(net67), + .B2(net98), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0591_)); - sky130_fd_sc_hd__and3_1 _1284_ (.A(_0588_), - .B(_0590_), - .C(_0591_), + .Y(_0591_)); + sky130_fd_sc_hd__or4b_2 _1284_ (.A(net116), + .B(net113), + .C(net109), + .D_N(net111), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0592_)); - sky130_fd_sc_hd__a21o_1 _1285_ (.A1(net69), - .A2(net58), - .B1(net56), + sky130_fd_sc_hd__or4bb_1 _1285_ (.A(net106), + .B(net101), + .C_N(net103), + .D_N(net107), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0593_)); - sky130_fd_sc_hd__o211a_1 _1286_ (.A1(net85), - .A2(net48), - .B1(_0593_), - .C1(_0580_), + sky130_fd_sc_hd__nand4b_1 _1286_ (.A_N(net111), + .B(net110), + .C(net114), + .D(net117), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0594_)); - sky130_fd_sc_hd__and4b_1 _1287_ (.A_N(_0578_), - .B(_0583_), - .C(_0592_), - .D(_0594_), + .Y(_0594_)); + sky130_fd_sc_hd__nand4b_4 _1287_ (.A_N(net107), + .B(net106), + .C(net101), + .D(net103), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0595_)); - sky130_fd_sc_hd__o21ai_1 _1288_ (.A1(_0572_), - .A2(_0595_), - .B1(_0570_), + .Y(_0595_)); + sky130_fd_sc_hd__o22ai_1 _1288_ (.A1(net65), + .A2(net63), + .B1(net61), + .B2(net58), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0596_)); - sky130_fd_sc_hd__nor2_2 _1289_ (.A(\main.demo._q_addr[9] ), - .B(\main.demo._q_addr[8] ), + sky130_fd_sc_hd__nor2_1 _1289_ (.A(_0591_), + .B(_0596_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0597_)); - sky130_fd_sc_hd__or2_1 _1290_ (.A(\main.demo._q_addr[9] ), - .B(\main.demo._q_addr[8] ), + sky130_fd_sc_hd__nand4_1 _1290_ (.A(_0581_), + .B(_0586_), + .C(_0587_), + .D(_0597_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0598_)); - sky130_fd_sc_hd__or4b_1 _1291_ (.A(net100), - .B(net102), - .C(net98), - .D_N(net96), + .Y(_0598_)); + sky130_fd_sc_hd__or4bb_1 _1291_ (.A(net117), + .B(net114), + .C_N(net110), + .D_N(net111), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0599_)); - sky130_fd_sc_hd__or4bb_1 _1292_ (.A(net113), - .B(net108), - .C_N(net105), - .D_N(net111), + sky130_fd_sc_hd__nand3_1 _1292_ (.A(net107), + .B(net101), + .C(net103), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0600_)); - sky130_fd_sc_hd__o22ai_1 _1293_ (.A1(net84), - .A2(net82), - .B1(net42), - .B2(net40), + .Y(_0600_)); + sky130_fd_sc_hd__or4bb_2 _1293_ (.A(net108), + .B(net105), + .C_N(net102), + .D_N(net104), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0601_)); - sky130_fd_sc_hd__nand3_1 _1294_ (.A(net103), - .B(net97), - .C(net99), + .X(_0601_)); + sky130_fd_sc_hd__nor2_1 _1294_ (.A(net65), + .B(net54), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0602_)); - sky130_fd_sc_hd__and4b_1 _1295_ (.A_N(net101), - .B(net103), - .C(net97), - .D(net99), + sky130_fd_sc_hd__or4bb_1 _1295_ (.A(net108), + .B(net104), + .C_N(net102), + .D_N(net105), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0603_)); - sky130_fd_sc_hd__nand4b_2 _1296_ (.A_N(net100), - .B(net102), - .C(net96), - .D(net98), + sky130_fd_sc_hd__nor2_1 _1296_ (.A(net90), + .B(net52), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0604_)); - sky130_fd_sc_hd__o22ai_1 _1297_ (.A1(net82), - .A2(net42), - .B1(net39), - .B2(net68), + sky130_fd_sc_hd__o22a_1 _1297_ (.A1(net56), + .A2(_0600_), + .B1(net52), + .B2(net89), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0605_)); - sky130_fd_sc_hd__or4bb_1 _1298_ (.A(net113), - .B(net104), - .C_N(net108), - .D_N(net111), + .X(_0605_)); + sky130_fd_sc_hd__nand4_1 _1298_ (.A(net108), + .B(net105), + .C(net102), + .D(net104), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0606_)); + .Y(_0606_)); sky130_fd_sc_hd__o22ai_1 _1299_ (.A1(net82), - .A2(net79), - .B1(net39), - .B2(net36), + .A2(net61), + .B1(net50), + .B2(net65), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0607_)); - sky130_fd_sc_hd__nor2_1 _1300_ (.A(_0418_), - .B(_0581_), + sky130_fd_sc_hd__or4bb_1 _1300_ (.A(net115), + .B(net112), + .C_N(net109), + .D_N(net117), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0608_)); - sky130_fd_sc_hd__or4b_2 _1301_ (.A(net110), - .B(net104), - .C(net107), - .D_N(net114), + .X(_0608_)); + sky130_fd_sc_hd__or4b_1 _1301_ (.A(net116), + .B(net113), + .C(net111), + .D_N(net110), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0609_)); - sky130_fd_sc_hd__o22a_1 _1302_ (.A1(_0567_), - .A2(net51), - .B1(net38), - .B2(net89), + sky130_fd_sc_hd__a21o_1 _1302_ (.A1(net47), + .A2(net44), + .B1(net83), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0610_)); - sky130_fd_sc_hd__o21ai_1 _1303_ (.A1(net77), - .A2(net35), + sky130_fd_sc_hd__o21a_1 _1303_ (.A1(net77), + .A2(net50), .B1(_0610_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0611_)); - sky130_fd_sc_hd__and4b_1 _1304_ (.A_N(net103), - .B(net97), - .C(net99), - .D(net101), + .X(_0611_)); + sky130_fd_sc_hd__or4bb_1 _1304_ (.A(_0607_), + .B(_0602_), + .C_N(_0605_), + .D_N(_0611_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0612_)); - sky130_fd_sc_hd__nand4b_1 _1305_ (.A_N(net103), - .B(net97), - .C(net99), - .D(net101), + sky130_fd_sc_hd__or4bb_1 _1305_ (.A(net109), + .B(net112), + .C_N(net116), + .D_N(net115), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0613_)); - sky130_fd_sc_hd__or4bb_1 _1306_ (.A(net96), - .B(net98), - .C_N(net101), - .D_N(net103), + .X(_0613_)); + sky130_fd_sc_hd__o22a_1 _1306_ (.A1(net93), + .A2(net81), + .B1(net71), + .B2(net40), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0614_)); - sky130_fd_sc_hd__or4b_4 _1307_ (.A(net100), - .B(net102), - .C(net96), - .D_N(net98), + sky130_fd_sc_hd__o22a_1 _1307_ (.A1(net86), + .A2(net57), + .B1(net40), + .B2(net63), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0615_)); - sky130_fd_sc_hd__and4bb_1 _1308_ (.A_N(net109), - .B_N(net27), - .C(net110), - .D(net106), + sky130_fd_sc_hd__or2_1 _1308_ (.A(net61), + .B(net50), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0616_)); - sky130_fd_sc_hd__or4b_1 _1309_ (.A(net114), - .B(net110), - .C(net104), - .D_N(net107), + sky130_fd_sc_hd__or4b_4 _1309_ (.A(net107), + .B(net101), + .C(net103), + .D_N(net106), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0617_)); - sky130_fd_sc_hd__nand4_1 _1310_ (.A(net101), - .B(net103), - .C(net97), - .D(net99), + sky130_fd_sc_hd__o22a_1 _1310_ (.A1(net83), + .A2(net67), + .B1(net37), + .B2(_0578_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0618_)); - sky130_fd_sc_hd__o22ai_1 _1311_ (.A1(net71), - .A2(net24), - .B1(net22), - .B2(net34), + .X(_0618_)); + sky130_fd_sc_hd__nand4_1 _1311_ (.A(_0614_), + .B(_0615_), + .C(_0616_), + .D(_0618_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0619_)); - sky130_fd_sc_hd__or2_1 _1312_ (.A(_0561_), - .B(net74), + sky130_fd_sc_hd__nand4b_1 _1312_ (.A_N(net105), + .B(net102), + .C(net104), + .D(net108), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0620_)); - sky130_fd_sc_hd__o22ai_1 _1313_ (.A1(net74), - .A2(net42), - .B1(net36), - .B2(net71), + .Y(_0620_)); + sky130_fd_sc_hd__nand4b_1 _1313_ (.A_N(net104), + .B(net102), + .C(net105), + .D(net108), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0621_)); - sky130_fd_sc_hd__and4bb_1 _1314_ (.A_N(net104), - .B_N(net107), - .C(net114), - .D(net112), + sky130_fd_sc_hd__o22ai_2 _1314_ (.A1(net79), + .A2(net33), + .B1(net31), + .B2(net76), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0622_)); - sky130_fd_sc_hd__or4bb_1 _1315_ (.A(net104), - .B(net107), - .C_N(net113), - .D_N(net111), + .Y(_0622_)); + sky130_fd_sc_hd__or4bb_1 _1315_ (.A(net117), + .B(net109), + .C_N(net112), + .D_N(net114), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0623_)); - sky130_fd_sc_hd__a21oi_1 _1316_ (.A1(net24), - .A2(net19), - .B1(net88), + sky130_fd_sc_hd__nor2_1 _1316_ (.A(net83), + .B(net30), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0624_)); - sky130_fd_sc_hd__nor3_1 _1317_ (.A(_0418_), - .B(net112), - .C(_0559_), + sky130_fd_sc_hd__nor2_1 _1317_ (.A(net47), + .B(_0621_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0625_)); - sky130_fd_sc_hd__nand4b_1 _1318_ (.A_N(net110), - .B(net105), - .C(net107), - .D(net114), + sky130_fd_sc_hd__o22a_1 _1318_ (.A1(net95), + .A2(_0601_), + .B1(net38), + .B2(net68), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0626_)); - sky130_fd_sc_hd__o22a_1 _1319_ (.A1(net88), - .A2(net67), - .B1(net42), - .B2(net16), + .X(_0626_)); + sky130_fd_sc_hd__or4b_1 _1319_ (.A(_0622_), + .B(_0624_), + .C(_0625_), + .D_N(_0626_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0627_)); - sky130_fd_sc_hd__or3b_1 _1320_ (.A(_0621_), - .B(_0624_), - .C_N(_0627_), + sky130_fd_sc_hd__and2_1 _1320_ (.A(net69), + .B(net43), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0628_)); - sky130_fd_sc_hd__and4bb_1 _1321_ (.A_N(net101), - .B_N(net103), - .C(net97), - .D(net99), + sky130_fd_sc_hd__a21oi_1 _1321_ (.A1(net68), + .A2(net44), + .B1(net54), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0629_)); - sky130_fd_sc_hd__or4bb_2 _1322_ (.A(net101), - .B(net103), - .C_N(net97), - .D_N(net99), + .Y(_0629_)); + sky130_fd_sc_hd__or4b_2 _1322_ (.A(net115), + .B(net109), + .C(net112), + .D_N(net117), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0630_)); - sky130_fd_sc_hd__o22ai_1 _1323_ (.A1(net52), - .A2(net27), - .B1(net16), - .B2(net84), + sky130_fd_sc_hd__o22a_1 _1323_ (.A1(_0578_), + .A2(net32), + .B1(net27), + .B2(net58), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0631_)); - sky130_fd_sc_hd__or4_1 _1324_ (.A(_0420_), + .X(_0631_)); + sky130_fd_sc_hd__or4bb_1 _1324_ (.A(net115), .B(net109), - .C(_0576_), - .D(net27), + .C_N(net112), + .D_N(net116), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0632_)); - sky130_fd_sc_hd__or4_1 _1325_ (.A(_0578_), - .B(_0605_), - .C(_0607_), - .D(_0631_), + sky130_fd_sc_hd__a21oi_1 _1325_ (.A1(net30), + .A2(net25), + .B1(net86), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0633_)); - sky130_fd_sc_hd__or4b_1 _1326_ (.A(_0601_), - .B(_0619_), - .C(_0633_), - .D_N(_0632_), + .Y(_0633_)); + sky130_fd_sc_hd__or3b_1 _1326_ (.A(_0629_), + .B(_0633_), + .C_N(_0631_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0634_)); - sky130_fd_sc_hd__o221a_1 _1327_ (.A1(net47), - .A2(net35), - .B1(net33), - .B2(net59), - .C1(_0620_), + sky130_fd_sc_hd__nor2_1 _1327_ (.A(net31), + .B(net28), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0635_)); - sky130_fd_sc_hd__a21o_1 _1328_ (.A1(net70), - .A2(net41), - .B1(net90), + .Y(_0635_)); + sky130_fd_sc_hd__a31oi_1 _1328_ (.A1(_0589_), + .A2(net66), + .A3(net39), + .B1(net31), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0636_)); - sky130_fd_sc_hd__o221a_1 _1329_ (.A1(net89), - .A2(net54), - .B1(net31), - .B2(net65), - .C1(_0636_), + .Y(_0636_)); + sky130_fd_sc_hd__nor2_1 _1329_ (.A(net39), + .B(net33), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0637_)); - sky130_fd_sc_hd__a21o_1 _1330_ (.A1(_0585_), - .A2(net44), - .B1(net59), + .Y(_0637_)); + sky130_fd_sc_hd__nor2_1 _1330_ (.A(net88), + .B(net49), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0638_)); - sky130_fd_sc_hd__o221a_1 _1331_ (.A1(net93), - .A2(net44), - .B1(net35), - .B2(_0630_), - .C1(_0638_), + .Y(_0638_)); + sky130_fd_sc_hd__o22ai_1 _1331_ (.A1(net43), + .A2(net31), + .B1(net28), + .B2(net59), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0639_)); - sky130_fd_sc_hd__and4b_1 _1332_ (.A_N(_0611_), - .B(_0635_), - .C(_0637_), + .Y(_0639_)); + sky130_fd_sc_hd__or4_1 _1332_ (.A(_0636_), + .B(_0637_), + .C(_0638_), .D(_0639_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0640_)); - sky130_fd_sc_hd__or3b_1 _1333_ (.A(_0628_), - .B(_0634_), - .C_N(_0640_), + sky130_fd_sc_hd__or4_1 _1333_ (.A(_0619_), + .B(_0627_), + .C(_0634_), + .D(_0640_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0641_)); - sky130_fd_sc_hd__nor2_1 _1334_ (.A(net61), - .B(net55), + sky130_fd_sc_hd__or3_1 _1334_ (.A(_0598_), + .B(_0612_), + .C(_0641_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0642_)); - sky130_fd_sc_hd__o22a_1 _1335_ (.A1(net72), - .A2(net64), - .B1(net62), - .B2(net56), + .X(_0642_)); + sky130_fd_sc_hd__and2b_2 _1335_ (.A_N(\main.demo._q_addr[8] ), + .B(\main.demo._q_addr[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0643_)); - sky130_fd_sc_hd__or4_1 _1336_ (.A(net100), - .B(net102), - .C(net96), - .D(net98), + sky130_fd_sc_hd__nand2b_4 _1336_ (.A_N(\main.demo._q_addr[8] ), + .B(\main.demo._q_addr[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0644_)); - sky130_fd_sc_hd__o22a_1 _1337_ (.A1(net80), - .A2(net59), - .B1(net13), - .B2(net70), + .Y(_0644_)); + sky130_fd_sc_hd__or4_1 _1337_ (.A(net107), + .B(net105), + .C(net101), + .D(net103), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0645_)); - sky130_fd_sc_hd__o21ai_1 _1338_ (.A1(net38), - .A2(net13), - .B1(_0645_), + sky130_fd_sc_hd__o22ai_2 _1338_ (.A1(net87), + .A2(net65), + .B1(net60), + .B2(net19), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0646_)); - sky130_fd_sc_hd__a2bb2o_1 _1339_ (.A1_N(_0556_), - .A2_N(_0643_), - .B1(_0646_), - .B2(_0571_), + sky130_fd_sc_hd__a21oi_1 _1339_ (.A1(net91), + .A2(net55), + .B1(net53), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0647_)); - sky130_fd_sc_hd__a211o_1 _1340_ (.A1(_0597_), - .A2(_0641_), - .B1(_0647_), - .C1(_0596_), + .Y(_0647_)); + sky130_fd_sc_hd__nor2_1 _1340_ (.A(_0646_), + .B(_0647_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0648_)); - sky130_fd_sc_hd__o22ai_1 _1341_ (.A1(net90), - .A2(net40), - .B1(net14), - .B2(net74), + .Y(_0648_)); + sky130_fd_sc_hd__o22ai_1 _1341_ (.A1(net85), + .A2(net44), + .B1(net41), + .B2(net63), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0649_)); - sky130_fd_sc_hd__nor2_1 _1342_ (.A(net83), - .B(net35), + sky130_fd_sc_hd__a21oi_1 _1342_ (.A1(net65), + .A2(net40), + .B1(net21), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0650_)); - sky130_fd_sc_hd__o22a_1 _1343_ (.A1(net83), - .A2(_0609_), - .B1(_0615_), - .B2(net38), + sky130_fd_sc_hd__o22a_1 _1343_ (.A1(net52), + .A2(net47), + .B1(net37), + .B2(net94), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0651_)); - sky130_fd_sc_hd__o22a_1 _1344_ (.A1(net77), - .A2(_0579_), - .B1(net62), - .B2(net83), + sky130_fd_sc_hd__or4b_1 _1344_ (.A(_0633_), + .B(_0649_), + .C(_0650_), + .D_N(_0651_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0652_)); - sky130_fd_sc_hd__nand2_1 _1345_ (.A(_0651_), - .B(_0652_), + sky130_fd_sc_hd__or4b_1 _1345_ (.A(net116), + .B(net110), + .C(net111), + .D_N(net113), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0653_)); - sky130_fd_sc_hd__or2_1 _1346_ (.A(net40), - .B(net29), + .X(_0653_)); + sky130_fd_sc_hd__a21o_1 _1346_ (.A1(net24), + .A2(net17), + .B1(net82), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0654_)); - sky130_fd_sc_hd__nand2_1 _1347_ (.A(net93), - .B(_0579_), + sky130_fd_sc_hd__o32a_1 _1347_ (.A1(net87), + .A2(_0576_), + .A3(_0577_), + .B1(net65), + .B2(net80), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0655_)); - sky130_fd_sc_hd__a21oi_1 _1348_ (.A1(net91), - .A2(net64), - .B1(net12), + .X(_0655_)); + sky130_fd_sc_hd__nand2_1 _1348_ (.A(_0654_), + .B(_0655_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0656_)); - sky130_fd_sc_hd__a21o_1 _1349_ (.A1(net75), - .A2(net12), - .B1(net61), + sky130_fd_sc_hd__nor2_1 _1349_ (.A(net41), + .B(net35), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0657_)); - sky130_fd_sc_hd__and4b_1 _1350_ (.A_N(_0656_), - .B(_0580_), - .C(_0654_), - .D(_0657_), + .Y(_0657_)); + sky130_fd_sc_hd__or4b_1 _1350_ (.A(net105), + .B(net102), + .C(net104), + .D_N(net108), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0658_)); - sky130_fd_sc_hd__a21o_1 _1351_ (.A1(net92), - .A2(net63), - .B1(net28), + sky130_fd_sc_hd__nor2_1 _1351_ (.A(net65), + .B(net15), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0659_)); - sky130_fd_sc_hd__o221a_1 _1352_ (.A1(net84), - .A2(net60), - .B1(net49), - .B2(net18), - .C1(_0659_), + .Y(_0659_)); + sky130_fd_sc_hd__nor2_1 _1352_ (.A(_0582_), + .B(net52), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0660_)); - sky130_fd_sc_hd__or2_1 _1353_ (.A(net76), - .B(net68), + .Y(_0660_)); + sky130_fd_sc_hd__or4_1 _1353_ (.A(_0604_), + .B(_0657_), + .C(_0659_), + .D(_0660_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0661_)); - sky130_fd_sc_hd__o221a_1 _1354_ (.A1(net79), - .A2(net64), - .B1(net18), - .B2(net88), - .C1(_0661_), + sky130_fd_sc_hd__or3_1 _1354_ (.A(_0652_), + .B(_0656_), + .C(_0661_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0662_)); - sky130_fd_sc_hd__o22a_1 _1355_ (.A1(net62), - .A2(net49), - .B1(net27), - .B2(net86), + sky130_fd_sc_hd__or3_1 _1355_ (.A(_0646_), + .B(_0647_), + .C(_0662_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0663_)); - sky130_fd_sc_hd__o22a_1 _1356_ (.A1(net89), - .A2(net59), - .B1(net44), - .B2(net62), + sky130_fd_sc_hd__and2b_2 _1356_ (.A_N(\main.demo._q_addr[9] ), + .B(\main.demo._q_addr[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0664_)); - sky130_fd_sc_hd__and2_1 _1357_ (.A(_0663_), - .B(_0664_), + sky130_fd_sc_hd__nand2b_1 _1357_ (.A_N(\main.demo._q_addr[9] ), + .B(\main.demo._q_addr[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0665_)); - sky130_fd_sc_hd__nand4_1 _1358_ (.A(_0658_), - .B(_0660_), - .C(_0662_), - .D(_0665_), + .Y(_0665_)); + sky130_fd_sc_hd__or2_1 _1358_ (.A(net97), + .B(net76), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0666_)); - sky130_fd_sc_hd__o31a_1 _1359_ (.A1(_0649_), - .A2(_0653_), - .A3(_0666_), - .B1(_0555_), + .X(_0666_)); + sky130_fd_sc_hd__o21ai_1 _1359_ (.A1(net69), + .A2(net35), + .B1(_0666_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0667_)); - sky130_fd_sc_hd__nor2_1 _1360_ (.A(net50), - .B(net20), + .Y(_0667_)); + sky130_fd_sc_hd__or2_1 _1360_ (.A(net98), + .B(net44), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0668_)); - sky130_fd_sc_hd__o22ai_1 _1361_ (.A1(net41), - .A2(net33), - .B1(net23), - .B2(_0586_), + .X(_0668_)); + sky130_fd_sc_hd__a21o_1 _1361_ (.A1(net65), + .A2(net40), + .B1(net80), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0669_)); - sky130_fd_sc_hd__a211oi_1 _1362_ (.A1(_0603_), - .A2(_0625_), + .X(_0669_)); + sky130_fd_sc_hd__o211ai_1 _1362_ (.A1(net94), + .A2(net52), .B1(_0668_), .C1(_0669_), .VGND(VGND), @@ -3629,1380 +3523,1382 @@ module tt_um_whynot (clk, .VPB(VPWR), .VPWR(VPWR), .Y(_0670_)); - sky130_fd_sc_hd__or2_1 _1363_ (.A(net60), - .B(_0604_), + sky130_fd_sc_hd__o22ai_1 _1363_ (.A1(net73), + .A2(net60), + .B1(net33), + .B2(net22), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0671_)); - sky130_fd_sc_hd__o221a_1 _1364_ (.A1(net70), - .A2(net30), - .B1(net25), - .B2(net50), - .C1(_0671_), + .Y(_0671_)); + sky130_fd_sc_hd__o22a_1 _1364_ (.A1(net49), + .A2(net39), + .B1(net33), + .B2(net60), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0672_)); - sky130_fd_sc_hd__o22a_1 _1365_ (.A1(net86), - .A2(net39), - .B1(net22), - .B2(net92), + sky130_fd_sc_hd__or4b_1 _1365_ (.A(_0667_), + .B(_0670_), + .C(_0671_), + .D_N(_0672_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0673_)); - sky130_fd_sc_hd__a21o_1 _1366_ (.A1(net32), - .A2(net29), - .B1(net92), + sky130_fd_sc_hd__a21oi_1 _1366_ (.A1(net24), + .A2(net17), + .B1(net75), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0674_)); - sky130_fd_sc_hd__o221a_1 _1367_ (.A1(net69), - .A2(net32), - .B1(net23), - .B2(net86), - .C1(_0674_), + .Y(_0674_)); + sky130_fd_sc_hd__o22a_1 _1367_ (.A1(net71), + .A2(net67), + .B1(net56), + .B2(net81), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0675_)); - sky130_fd_sc_hd__a41o_1 _1368_ (.A1(_0670_), - .A2(_0672_), - .A3(_0673_), - .A4(_0675_), - .B1(_0598_), + sky130_fd_sc_hd__or4bb_1 _1368_ (.A(_0674_), + .B(_0602_), + .C_N(_0605_), + .D_N(_0675_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0676_)); - sky130_fd_sc_hd__o22a_1 _1369_ (.A1(net91), - .A2(net71), - .B1(net67), - .B2(net12), + sky130_fd_sc_hd__o22ai_1 _1369_ (.A1(net77), + .A2(net63), + .B1(net56), + .B2(net82), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0677_)); - sky130_fd_sc_hd__or4_1 _1370_ (.A(_0418_), - .B(_0419_), - .C(_0420_), - .D(net46), + .Y(_0677_)); + sky130_fd_sc_hd__o22ai_1 _1370_ (.A1(net89), + .A2(net63), + .B1(net61), + .B2(net85), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0678_)); - sky130_fd_sc_hd__o22a_1 _1371_ (.A1(net78), - .A2(net67), - .B1(net58), - .B2(net44), + .Y(_0678_)); + sky130_fd_sc_hd__or2_1 _1371_ (.A(_0677_), + .B(_0678_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0679_)); - sky130_fd_sc_hd__or2_1 _1372_ (.A(net81), - .B(net23), + sky130_fd_sc_hd__a21o_1 _1372_ (.A1(net61), + .A2(net56), + .B1(net54), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0680_)); - sky130_fd_sc_hd__o221a_1 _1373_ (.A1(net74), - .A2(net71), - .B1(net22), - .B2(net86), - .C1(_0679_), + sky130_fd_sc_hd__o221ai_2 _1373_ (.A1(net65), + .A2(net58), + .B1(net33), + .B2(net17), + .C1(_0680_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0681_)); - sky130_fd_sc_hd__o22a_1 _1374_ (.A1(_0564_), - .A2(net54), - .B1(net43), - .B2(net70), + .Y(_0681_)); + sky130_fd_sc_hd__o22a_1 _1374_ (.A1(net93), + .A2(net86), + .B1(net40), + .B2(net32), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0682_)); - sky130_fd_sc_hd__o2111a_1 _1375_ (.A1(net89), - .A2(net20), - .B1(_0678_), - .C1(_0680_), - .D1(_0682_), + sky130_fd_sc_hd__o22a_1 _1375_ (.A1(net98), + .A2(net78), + .B1(net40), + .B2(net20), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0683_)); - sky130_fd_sc_hd__a31o_1 _1376_ (.A1(_0677_), - .A2(_0681_), - .A3(_0683_), - .B1(_0572_), + sky130_fd_sc_hd__o22a_1 _1376_ (.A1(net86), + .A2(_0578_), + .B1(net47), + .B2(net81), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0684_)); - sky130_fd_sc_hd__nand3b_1 _1377_ (.A_N(_0667_), - .B(_0676_), - .C(_0684_), + sky130_fd_sc_hd__o22a_1 _1377_ (.A1(net82), + .A2(net67), + .B1(net63), + .B2(net78), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0685_)); - sky130_fd_sc_hd__o22ai_1 _1378_ (.A1(net55), - .A2(net36), - .B1(net16), - .B2(net75), + .X(_0685_)); + sky130_fd_sc_hd__and4_1 _1378_ (.A(_0682_), + .B(_0683_), + .C(_0684_), + .D(_0685_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0686_)); - sky130_fd_sc_hd__o22ai_1 _1379_ (.A1(net63), - .A2(net55), - .B1(net15), - .B2(net85), + .X(_0686_)); + sky130_fd_sc_hd__or4b_1 _1379_ (.A(_0676_), + .B(_0679_), + .C(_0681_), + .D_N(_0686_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0687_)); - sky130_fd_sc_hd__a21oi_1 _1380_ (.A1(net52), - .A2(net37), - .B1(net29), + .X(_0687_)); + sky130_fd_sc_hd__o21a_1 _1380_ (.A1(_0673_), + .A2(_0687_), + .B1(_0664_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0688_)); - sky130_fd_sc_hd__or4_1 _1381_ (.A(_0642_), - .B(_0686_), - .C(_0687_), - .D(_0688_), + .X(_0688_)); + sky130_fd_sc_hd__a221oi_4 _1381_ (.A1(_0564_), + .A2(_0642_), + .B1(_0643_), + .B2(_0663_), + .C1(_0688_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0689_)); - sky130_fd_sc_hd__or2_1 _1382_ (.A(net64), - .B(net45), + .Y(_0689_)); + sky130_fd_sc_hd__o22ai_1 _1382_ (.A1(net77), + .A2(net59), + .B1(net34), + .B2(net90), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0690_)); - sky130_fd_sc_hd__o22a_1 _1383_ (.A1(net81), - .A2(net33), - .B1(net25), - .B2(net83), + .Y(_0690_)); + sky130_fd_sc_hd__nor2_1 _1383_ (.A(_0646_), + .B(_0690_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0691_)); - sky130_fd_sc_hd__o22a_1 _1384_ (.A1(net91), - .A2(net55), - .B1(net36), - .B2(net32), + .Y(_0691_)); + sky130_fd_sc_hd__o22a_1 _1384_ (.A1(net90), + .A2(net74), + .B1(net42), + .B2(net84), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0692_)); - sky130_fd_sc_hd__nand4_1 _1385_ (.A(_0654_), - .B(_0690_), - .C(_0691_), - .D(_0692_), + sky130_fd_sc_hd__or2_1 _1385_ (.A(net72), + .B(net16), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0693_)); - sky130_fd_sc_hd__nor2_1 _1386_ (.A(net83), - .B(net20), + .X(_0693_)); + sky130_fd_sc_hd__o211a_1 _1386_ (.A1(net55), + .A2(net13), + .B1(_0692_), + .C1(_0693_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0694_)); - sky130_fd_sc_hd__o22ai_1 _1387_ (.A1(net82), - .A2(net76), - .B1(net24), - .B2(net23), + .X(_0694_)); + sky130_fd_sc_hd__nor2_1 _1387_ (.A(net76), + .B(net35), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0695_)); - sky130_fd_sc_hd__or3b_1 _1388_ (.A(_0694_), - .B(_0695_), - .C_N(_0663_), + sky130_fd_sc_hd__o22a_1 _1388_ (.A1(net88), + .A2(net59), + .B1(net35), + .B2(net77), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0696_)); - sky130_fd_sc_hd__o22a_1 _1389_ (.A1(net58), - .A2(net28), - .B1(net22), - .B2(net40), + sky130_fd_sc_hd__o22a_1 _1389_ (.A1(net98), + .A2(net24), + .B1(net17), + .B2(net32), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0697_)); - sky130_fd_sc_hd__o211a_1 _1390_ (.A1(net92), - .A2(net75), - .B1(_0661_), - .C1(_0697_), + sky130_fd_sc_hd__o211a_1 _1390_ (.A1(net50), + .A2(net24), + .B1(_0697_), + .C1(_0579_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0698_)); - sky130_fd_sc_hd__or4b_1 _1391_ (.A(_0689_), - .B(_0693_), - .C(_0696_), - .D_N(_0698_), + sky130_fd_sc_hd__o22a_1 _1391_ (.A1(net40), + .A2(net37), + .B1(net20), + .B2(net65), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0699_)); - sky130_fd_sc_hd__o22ai_1 _1392_ (.A1(net87), - .A2(net30), - .B1(net28), - .B2(net62), + sky130_fd_sc_hd__a21o_1 _1392_ (.A1(net67), + .A2(net44), + .B1(net81), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0700_)); - sky130_fd_sc_hd__a21oi_1 _1393_ (.A1(net59), - .A2(net17), - .B1(net47), + .X(_0700_)); + sky130_fd_sc_hd__o22a_1 _1393_ (.A1(net78), + .A2(net71), + .B1(net37), + .B2(net89), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0701_)); - sky130_fd_sc_hd__o22ai_2 _1394_ (.A1(net57), - .A2(net25), - .B1(net17), - .B2(net30), + .X(_0701_)); + sky130_fd_sc_hd__o2111a_1 _1394_ (.A1(net58), + .A2(net56), + .B1(_0699_), + .C1(_0700_), + .D1(_0701_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0702_)); - sky130_fd_sc_hd__o22ai_1 _1395_ (.A1(net59), - .A2(net30), - .B1(net20), - .B2(net57), + .X(_0702_)); + sky130_fd_sc_hd__a21o_1 _1395_ (.A1(_0583_), + .A2(net24), + .B1(net54), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0703_)); - sky130_fd_sc_hd__or4_1 _1396_ (.A(_0700_), - .B(_0701_), - .C(_0702_), - .D(_0703_), + .X(_0703_)); + sky130_fd_sc_hd__o21a_1 _1396_ (.A1(net58), + .A2(net17), + .B1(_0703_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0704_)); - sky130_fd_sc_hd__a2bb2o_1 _1397_ (.A1_N(net73), - .A2_N(net30), - .B1(_0622_), - .B2(_0603_), + sky130_fd_sc_hd__and4_1 _1397_ (.A(_0597_), + .B(_0698_), + .C(_0702_), + .D(_0704_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0705_)); - sky130_fd_sc_hd__a21oi_1 _1398_ (.A1(net41), - .A2(net38), - .B1(net77), + sky130_fd_sc_hd__a41o_1 _1398_ (.A1(_0691_), + .A2(_0694_), + .A3(_0696_), + .A4(_0705_), + .B1(_0665_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0706_)); - sky130_fd_sc_hd__or2_1 _1399_ (.A(_0705_), - .B(_0706_), + .X(_0706_)); + sky130_fd_sc_hd__o22a_1 _1399_ (.A1(net62), + .A2(net26), + .B1(net19), + .B2(net55), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0707_)); - sky130_fd_sc_hd__nor2_1 _1400_ (.A(_0573_), - .B(net18), + sky130_fd_sc_hd__o22a_1 _1400_ (.A1(net79), + .A2(net51), + .B1(net19), + .B2(net88), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0708_)); - sky130_fd_sc_hd__o22ai_1 _1401_ (.A1(net73), - .A2(_0602_), - .B1(net26), - .B2(net15), + .X(_0708_)); + sky130_fd_sc_hd__and4_1 _1401_ (.A(_0666_), + .B(_0697_), + .C(_0707_), + .D(_0708_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0709_)); - sky130_fd_sc_hd__or3b_1 _1402_ (.A(_0708_), - .B(_0709_), - .C_N(_0664_), + .X(_0709_)); + sky130_fd_sc_hd__a21o_1 _1402_ (.A1(net97), + .A2(net84), + .B1(net66), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0710_)); - sky130_fd_sc_hd__o22a_1 _1403_ (.A1(_0565_), - .A2(net64), - .B1(net57), - .B2(net70), + sky130_fd_sc_hd__o2111a_1 _1403_ (.A1(net43), + .A2(net35), + .B1(_0672_), + .C1(_0709_), + .D1(_0710_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0711_)); - sky130_fd_sc_hd__nor2_1 _1404_ (.A(net64), - .B(net50), + sky130_fd_sc_hd__o22a_1 _1404_ (.A1(net88), + .A2(net80), + .B1(net76), + .B2(net19), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0712_)); - sky130_fd_sc_hd__o22a_1 _1405_ (.A1(net64), - .A2(net51), - .B1(net23), - .B2(net17), + .X(_0712_)); + sky130_fd_sc_hd__a21o_1 _1405_ (.A1(net60), + .A2(net55), + .B1(net80), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0713_)); - sky130_fd_sc_hd__o22a_1 _1406_ (.A1(net87), - .A2(net47), - .B1(net43), - .B2(net20), + sky130_fd_sc_hd__and2_1 _1406_ (.A(_0712_), + .B(_0713_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0714_)); - sky130_fd_sc_hd__nand4_1 _1407_ (.A(_0680_), - .B(_0711_), - .C(_0713_), - .D(_0714_), + sky130_fd_sc_hd__a31o_1 _1407_ (.A1(_0589_), + .A2(net43), + .A3(net22), + .B1(net62), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0715_)); - sky130_fd_sc_hd__o22ai_2 _1408_ (.A1(net55), - .A2(net40), - .B1(net39), - .B2(net61), + .X(_0715_)); + sky130_fd_sc_hd__or4_1 _1408_ (.A(_0567_), + .B(net80), + .C(_0576_), + .D(_0577_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0716_)); - sky130_fd_sc_hd__a221o_1 _1409_ (.A1(_0608_), - .A2(_0612_), - .B1(_0629_), - .B2(_0655_), - .C1(_0716_), + .X(_0716_)); + sky130_fd_sc_hd__or2_1 _1409_ (.A(net51), + .B(net26), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0717_)); - sky130_fd_sc_hd__or4_1 _1410_ (.A(_0707_), - .B(_0710_), - .C(_0715_), - .D(_0717_), + sky130_fd_sc_hd__o2111a_1 _1410_ (.A1(net91), + .A2(net19), + .B1(_0715_), + .C1(_0716_), + .D1(_0717_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0718_)); - sky130_fd_sc_hd__o31a_1 _1411_ (.A1(_0699_), - .A2(_0704_), - .A3(_0718_), - .B1(_0597_), + sky130_fd_sc_hd__a41o_1 _1411_ (.A1(_0648_), + .A2(_0711_), + .A3(_0714_), + .A4(_0718_), + .B1(_0565_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0719_)); - sky130_fd_sc_hd__o22a_1 _1412_ (.A1(net30), - .A2(net25), - .B1(net13), - .B2(net81), + sky130_fd_sc_hd__nor2_1 _1412_ (.A(net94), + .B(net71), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0720_)); - sky130_fd_sc_hd__o31ai_1 _1413_ (.A1(net114), - .A2(_0559_), - .A3(net72), - .B1(_0720_), + .Y(_0720_)); + sky130_fd_sc_hd__o22a_1 _1413_ (.A1(net82), + .A2(_0592_), + .B1(net57), + .B2(net85), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0721_)); - sky130_fd_sc_hd__a21oi_1 _1414_ (.A1(net93), - .A2(net66), - .B1(net30), + .X(_0721_)); + sky130_fd_sc_hd__and2b_1 _1414_ (.A_N(_0720_), + .B(_0721_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0722_)); - sky130_fd_sc_hd__or3_1 _1415_ (.A(_0694_), - .B(_0708_), - .C(_0722_), + .X(_0722_)); + sky130_fd_sc_hd__or2_1 _1415_ (.A(net61), + .B(net37), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0723_)); - sky130_fd_sc_hd__a21oi_1 _1416_ (.A1(net80), - .A2(_0615_), - .B1(net25), + sky130_fd_sc_hd__o22a_1 _1416_ (.A1(net85), + .A2(net67), + .B1(net40), + .B2(net82), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0724_)); - sky130_fd_sc_hd__or3_1 _1417_ (.A(_0574_), - .B(_0668_), - .C(_0724_), + .X(_0724_)); + sky130_fd_sc_hd__o211a_1 _1417_ (.A1(net85), + .A2(net78), + .B1(_0668_), + .C1(_0724_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0725_)); - sky130_fd_sc_hd__or3_1 _1418_ (.A(_0721_), - .B(_0723_), - .C(_0725_), + sky130_fd_sc_hd__a31o_1 _1418_ (.A1(_0722_), + .A2(_0723_), + .A3(_0725_), + .B1(_0644_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0726_)); - sky130_fd_sc_hd__o22a_1 _1419_ (.A1(net85), - .A2(net48), - .B1(net24), - .B2(net12), + sky130_fd_sc_hd__and3_1 _1419_ (.A(_0706_), + .B(_0719_), + .C(_0726_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0727_)); - sky130_fd_sc_hd__o221ai_2 _1420_ (.A1(net34), - .A2(net29), - .B1(net19), - .B2(net12), - .C1(_0727_), + sky130_fd_sc_hd__a21oi_1 _1420_ (.A1(net39), + .A2(net22), + .B1(net51), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0728_)); - sky130_fd_sc_hd__o22ai_2 _1421_ (.A1(net75), - .A2(net52), - .B1(net29), - .B2(net19), + sky130_fd_sc_hd__o22a_1 _1421_ (.A1(net96), + .A2(net84), + .B1(net73), + .B2(net26), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0729_)); - sky130_fd_sc_hd__or4_1 _1422_ (.A(_0578_), - .B(_0688_), - .C(_0728_), - .D(_0729_), + .X(_0729_)); + sky130_fd_sc_hd__o22a_1 _1422_ (.A1(net88), + .A2(net31), + .B1(net16), + .B2(net49), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0730_)); - sky130_fd_sc_hd__o21a_1 _1423_ (.A1(_0726_), - .A2(_0730_), - .B1(_0555_), + sky130_fd_sc_hd__o22a_1 _1423_ (.A1(net73), + .A2(net56), + .B1(net16), + .B2(net99), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0731_)); - sky130_fd_sc_hd__a21o_1 _1424_ (.A1(_0563_), + sky130_fd_sc_hd__o22a_1 _1424_ (.A1(net96), .A2(net73), - .B1(_0630_), + .B1(net32), + .B2(net26), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0732_)); - sky130_fd_sc_hd__o221a_1 _1425_ (.A1(net65), - .A2(_0604_), - .B1(net33), - .B2(net25), - .C1(_0732_), + sky130_fd_sc_hd__o22a_1 _1425_ (.A1(net23), + .A2(net19), + .B1(net14), + .B2(net88), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0733_)); - sky130_fd_sc_hd__nor2_1 _1426_ (.A(net81), - .B(net39), + sky130_fd_sc_hd__or2_1 _1426_ (.A(net16), + .B(net13), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0734_)); - sky130_fd_sc_hd__nor2_1 _1427_ (.A(net89), - .B(net81), + .X(_0734_)); + sky130_fd_sc_hd__or2_1 _1427_ (.A(net55), + .B(net31), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0735_)); - sky130_fd_sc_hd__nor4_1 _1428_ (.A(_0703_), - .B(_0712_), - .C(_0734_), - .D(_0735_), + .X(_0735_)); + sky130_fd_sc_hd__a21o_1 _1428_ (.A1(net39), + .A2(net28), + .B1(net97), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0736_)); - sky130_fd_sc_hd__or2_1 _1429_ (.A(_0563_), - .B(net30), + .X(_0736_)); + sky130_fd_sc_hd__o21ba_1 _1429_ (.A1(net71), + .A2(net67), + .B1_N(_0677_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0737_)); - sky130_fd_sc_hd__o221a_1 _1430_ (.A1(net73), - .A2(net28), - .B1(net17), - .B2(net83), - .C1(_0737_), + sky130_fd_sc_hd__a21o_1 _1430_ (.A1(net77), + .A2(net66), + .B1(net33), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0738_)); - sky130_fd_sc_hd__o22a_1 _1431_ (.A1(net89), - .A2(net54), - .B1(net28), - .B2(net70), + sky130_fd_sc_hd__o221a_1 _1431_ (.A1(net71), + .A2(net24), + .B1(net16), + .B2(net54), + .C1(_0738_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0739_)); - sky130_fd_sc_hd__o221a_1 _1432_ (.A1(net89), - .A2(net65), - .B1(net20), - .B2(net13), - .C1(_0739_), + sky130_fd_sc_hd__and3b_1 _1432_ (.A_N(_0660_), + .B(_0735_), + .C(_0736_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0740_)); - sky130_fd_sc_hd__nand4_1 _1433_ (.A(_0733_), - .B(_0736_), - .C(_0738_), - .D(_0740_), + sky130_fd_sc_hd__and4b_1 _1433_ (.A_N(_0728_), + .B(_0729_), + .C(_0730_), + .D(_0731_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0741_)); - sky130_fd_sc_hd__o22a_1 _1434_ (.A1(net77), - .A2(_0567_), - .B1(_0579_), - .B2(net43), + .X(_0741_)); + sky130_fd_sc_hd__and3_1 _1434_ (.A(_0696_), + .B(_0732_), + .C(_0733_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0742_)); - sky130_fd_sc_hd__o21ai_1 _1435_ (.A1(net83), - .A2(net41), - .B1(_0742_), + sky130_fd_sc_hd__and4b_1 _1435_ (.A_N(_0671_), + .B(_0734_), + .C(_0741_), + .D(_0742_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0743_)); - sky130_fd_sc_hd__or2_1 _1436_ (.A(net87), - .B(net72), + .X(_0743_)); + sky130_fd_sc_hd__and3b_1 _1436_ (.A_N(_0670_), + .B(_0737_), + .C(_0739_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0744_)); - sky130_fd_sc_hd__o221a_1 _1437_ (.A1(net54), - .A2(_0604_), - .B1(_0615_), - .B2(net21), - .C1(_0744_), + sky130_fd_sc_hd__a31o_1 _1437_ (.A1(_0740_), + .A2(_0743_), + .A3(_0744_), + .B1(_0565_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0745_)); - sky130_fd_sc_hd__o22a_1 _1438_ (.A1(net93), - .A2(net47), - .B1(net28), - .B2(net25), + sky130_fd_sc_hd__o22a_1 _1438_ (.A1(net97), + .A2(net46), + .B1(net35), + .B2(net22), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0746_)); - sky130_fd_sc_hd__nand3b_1 _1439_ (.A_N(_0743_), - .B(_0745_), - .C(_0746_), + sky130_fd_sc_hd__o22a_1 _1439_ (.A1(net92), + .A2(net70), + .B1(net18), + .B2(net14), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0747_)); - sky130_fd_sc_hd__o22ai_1 _1440_ (.A1(net47), - .A2(net41), - .B1(net23), - .B2(net20), + .X(_0747_)); + sky130_fd_sc_hd__o22a_1 _1440_ (.A1(net66), + .A2(net62), + .B1(net42), + .B2(net80), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0748_)); - sky130_fd_sc_hd__or2_1 _1441_ (.A(net61), - .B(net31), + .X(_0748_)); + sky130_fd_sc_hd__a31o_1 _1441_ (.A1(_0746_), + .A2(_0747_), + .A3(_0748_), + .B1(_0644_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0749_)); - sky130_fd_sc_hd__nor2_1 _1442_ (.A(net61), - .B(net45), + sky130_fd_sc_hd__or2_1 _1442_ (.A(net36), + .B(net16), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0750_)); - sky130_fd_sc_hd__a21o_1 _1443_ (.A1(net45), - .A2(net29), - .B1(net62), + .X(_0750_)); + sky130_fd_sc_hd__or2_1 _1443_ (.A(_0595_), + .B(net47), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0751_)); - sky130_fd_sc_hd__or3b_1 _1444_ (.A(_0708_), - .B(_0709_), - .C_N(_0652_), + sky130_fd_sc_hd__o2111a_1 _1444_ (.A1(net87), + .A2(net76), + .B1(_0733_), + .C1(_0750_), + .D1(_0751_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0752_)); - sky130_fd_sc_hd__or4b_1 _1445_ (.A(_0748_), - .B(_0752_), - .C(_0750_), - .D_N(_0749_), + sky130_fd_sc_hd__a21o_1 _1445_ (.A1(net27), + .A2(net23), + .B1(net87), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0753_)); - sky130_fd_sc_hd__o31a_1 _1446_ (.A1(_0741_), - .A2(_0747_), - .A3(_0753_), - .B1(_0571_), + sky130_fd_sc_hd__o221a_1 _1446_ (.A1(net91), + .A2(net59), + .B1(net35), + .B2(net28), + .C1(_0753_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0754_)); - sky130_fd_sc_hd__or3_1 _1447_ (.A(_0719_), - .B(_0731_), - .C(_0754_), + sky130_fd_sc_hd__a31o_1 _1447_ (.A1(_0712_), + .A2(_0752_), + .A3(_0754_), + .B1(net12), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0755_)); - sky130_fd_sc_hd__or2_1 _1448_ (.A(_0685_), - .B(_0755_), + sky130_fd_sc_hd__and3_1 _1448_ (.A(_0745_), + .B(_0749_), + .C(_0755_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0756_)); - sky130_fd_sc_hd__or3_1 _1449_ (.A(_0648_), - .B(_0685_), - .C(_0755_), + sky130_fd_sc_hd__nand2_1 _1449_ (.A(_0727_), + .B(_0756_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0757_)); - sky130_fd_sc_hd__a21o_1 _1450_ (.A1(net40), - .A2(net26), - .B1(net39), + .Y(_0757_)); + sky130_fd_sc_hd__and3_1 _1450_ (.A(_0689_), + .B(_0727_), + .C(_0756_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0758_)); - sky130_fd_sc_hd__o221ai_2 _1451_ (.A1(net52), - .A2(net42), - .B1(net15), - .B2(net67), - .C1(_0758_), + sky130_fd_sc_hd__o22ai_1 _1451_ (.A1(net73), + .A2(net66), + .B1(net60), + .B2(net70), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0759_)); - sky130_fd_sc_hd__a21oi_1 _1452_ (.A1(net82), - .A2(net68), - .B1(net48), + sky130_fd_sc_hd__nor2_1 _1452_ (.A(net51), + .B(net16), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0760_)); - sky130_fd_sc_hd__a21oi_1 _1453_ (.A1(net92), - .A2(net36), - .B1(net42), + sky130_fd_sc_hd__o22a_1 _1453_ (.A1(net27), + .A2(net20), + .B1(net17), + .B2(net52), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0761_)); - sky130_fd_sc_hd__o22ai_1 _1454_ (.A1(net60), - .A2(net49), - .B1(_0589_), - .B2(net34), + .X(_0761_)); + sky130_fd_sc_hd__or4bb_1 _1454_ (.A(net104), + .B(net67), + .C_N(net105), + .D_N(net102), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0762_)); - sky130_fd_sc_hd__or2_1 _1455_ (.A(net63), - .B(net29), + .X(_0762_)); + sky130_fd_sc_hd__o211a_1 _1455_ (.A1(net30), + .A2(net15), + .B1(_0761_), + .C1(_0762_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0763_)); - sky130_fd_sc_hd__o221a_1 _1456_ (.A1(net39), - .A2(net34), - .B1(net18), - .B2(net15), - .C1(_0763_), + sky130_fd_sc_hd__o22a_1 _1456_ (.A1(net98), + .A2(net89), + .B1(_0601_), + .B2(net94), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0764_)); - sky130_fd_sc_hd__o22a_1 _1457_ (.A1(net86), - .A2(net44), - .B1(net24), - .B2(net76), + sky130_fd_sc_hd__o22a_1 _1457_ (.A1(net78), + .A2(net20), + .B1(net15), + .B2(net67), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0765_)); - sky130_fd_sc_hd__o22ai_2 _1458_ (.A1(net90), - .A2(net73), - .B1(net66), - .B2(net46), + sky130_fd_sc_hd__nand3_1 _1458_ (.A(_0763_), + .B(_0764_), + .C(_0765_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0766_)); - sky130_fd_sc_hd__a21o_1 _1459_ (.A1(net86), - .A2(net19), - .B1(net32), + sky130_fd_sc_hd__a21o_1 _1459_ (.A1(net54), + .A2(net49), + .B1(net79), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0767_)); - sky130_fd_sc_hd__o221a_1 _1460_ (.A1(net56), - .A2(net53), - .B1(net19), - .B2(net15), + sky130_fd_sc_hd__o221ai_2 _1460_ (.A1(net43), + .A2(net33), + .B1(net13), + .B2(net76), .C1(_0767_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0768_)); - sky130_fd_sc_hd__nand2_1 _1461_ (.A(_0764_), - .B(_0768_), + .Y(_0768_)); + sky130_fd_sc_hd__nor2_1 _1461_ (.A(net91), + .B(net49), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0769_)); - sky130_fd_sc_hd__or4b_1 _1462_ (.A(_0616_), - .B(_0702_), - .C(_0761_), - .D_N(_0674_), + sky130_fd_sc_hd__nor2_1 _1462_ (.A(net46), + .B(net33), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0770_)); - sky130_fd_sc_hd__o22a_1 _1463_ (.A1(net74), - .A2(net48), - .B1(net16), - .B2(net75), + .Y(_0770_)); + sky130_fd_sc_hd__nor3_1 _1463_ (.A(net113), + .B(_0576_), + .C(net15), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0771_)); - sky130_fd_sc_hd__or4b_1 _1464_ (.A(_0607_), - .B(_0705_), - .C(_0766_), - .D_N(_0771_), + .Y(_0771_)); + sky130_fd_sc_hd__nor2_1 _1464_ (.A(net76), + .B(net51), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0772_)); - sky130_fd_sc_hd__or4b_1 _1465_ (.A(_0649_), - .B(_0760_), - .C(_0762_), - .D_N(_0765_), + .Y(_0772_)); + sky130_fd_sc_hd__or4_1 _1465_ (.A(_0769_), + .B(_0770_), + .C(_0771_), + .D(_0772_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0773_)); - sky130_fd_sc_hd__or4_1 _1466_ (.A(_0696_), - .B(_0770_), - .C(_0772_), - .D(_0773_), + sky130_fd_sc_hd__o22ai_1 _1466_ (.A1(net69), + .A2(net59), + .B1(net54), + .B2(net91), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0774_)); - sky130_fd_sc_hd__o31a_1 _1467_ (.A1(_0759_), - .A2(_0769_), - .A3(_0774_), - .B1(_0571_), + .Y(_0774_)); + sky130_fd_sc_hd__or4b_1 _1467_ (.A(_0638_), + .B(_0728_), + .C(_0774_), + .D_N(_0708_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0775_)); - sky130_fd_sc_hd__or2_1 _1468_ (.A(net59), - .B(net22), + sky130_fd_sc_hd__or3_1 _1468_ (.A(_0768_), + .B(_0773_), + .C(_0775_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0776_)); - sky130_fd_sc_hd__a21o_1 _1469_ (.A1(net65), - .A2(net53), - .B1(net48), + sky130_fd_sc_hd__o31ai_2 _1469_ (.A1(_0759_), + .A2(_0766_), + .A3(_0776_), + .B1(_0664_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0777_)); - sky130_fd_sc_hd__a21o_1 _1470_ (.A1(net53), - .A2(net41), - .B1(net15), + .Y(_0777_)); + sky130_fd_sc_hd__or2_1 _1470_ (.A(net36), + .B(net27), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0778_)); - sky130_fd_sc_hd__o21a_1 _1471_ (.A1(net65), - .A2(net33), - .B1(_0778_), + sky130_fd_sc_hd__o221a_1 _1471_ (.A1(net73), + .A2(net60), + .B1(net23), + .B2(net97), + .C1(_0778_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0779_)); - sky130_fd_sc_hd__a21oi_1 _1472_ (.A1(net84), - .A2(net32), - .B1(net61), + sky130_fd_sc_hd__o22a_1 _1472_ (.A1(net48), + .A2(net19), + .B1(net13), + .B2(net92), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0780_)); - sky130_fd_sc_hd__o22ai_1 _1473_ (.A1(net55), - .A2(net52), - .B1(net48), - .B2(net36), + .X(_0780_)); + sky130_fd_sc_hd__a21o_1 _1473_ (.A1(net46), + .A2(net28), + .B1(net13), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0781_)); - sky130_fd_sc_hd__o22ai_1 _1474_ (.A1(net63), - .A2(net32), - .B1(net15), - .B2(net58), + .X(_0781_)); + sky130_fd_sc_hd__o2111a_1 _1474_ (.A1(net80), + .A2(net76), + .B1(_0731_), + .C1(_0780_), + .D1(_0781_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0782_)); - sky130_fd_sc_hd__a21o_1 _1475_ (.A1(net68), - .A2(net37), - .B1(net15), + .X(_0782_)); + sky130_fd_sc_hd__a31o_1 _1475_ (.A1(_0714_), + .A2(_0779_), + .A3(_0782_), + .B1(_0644_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0783_)); - sky130_fd_sc_hd__nor2_1 _1476_ (.A(net50), - .B(_0609_), + sky130_fd_sc_hd__or2_1 _1476_ (.A(_0589_), + .B(net49), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0784_)); - sky130_fd_sc_hd__o221a_1 _1477_ (.A1(net84), - .A2(net67), - .B1(net49), - .B2(net35), - .C1(_0783_), + .X(_0784_)); + sky130_fd_sc_hd__a21oi_1 _1477_ (.A1(net66), + .A2(net39), + .B1(net97), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0785_)); - sky130_fd_sc_hd__a2111oi_1 _1478_ (.A1(_0612_), - .A2(_0622_), - .B1(_0780_), - .C1(_0781_), - .D1(_0782_), + .Y(_0785_)); + sky130_fd_sc_hd__a21oi_1 _1478_ (.A1(net88), + .A2(net76), + .B1(net13), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0786_)); - sky130_fd_sc_hd__o211a_1 _1479_ (.A1(net70), - .A2(net23), - .B1(_0737_), - .C1(_0776_), + sky130_fd_sc_hd__nor2_1 _1479_ (.A(_0785_), + .B(_0786_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0787_)); - sky130_fd_sc_hd__o2111a_1 _1480_ (.A1(net53), - .A2(net32), - .B1(_0777_), - .C1(net5), - .D1(_0787_), + .Y(_0787_)); + sky130_fd_sc_hd__o22a_1 _1480_ (.A1(net96), + .A2(net31), + .B1(net13), + .B2(net43), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0788_)); - sky130_fd_sc_hd__and4_1 _1481_ (.A(_0733_), - .B(_0764_), - .C(_0779_), - .D(_0785_), + sky130_fd_sc_hd__or2_1 _1481_ (.A(net60), + .B(net31), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0789_)); - sky130_fd_sc_hd__a21oi_1 _1482_ (.A1(_0788_), - .A2(_0789_), - .B1(_0598_), + sky130_fd_sc_hd__o22a_1 _1482_ (.A1(net66), + .A2(net51), + .B1(net49), + .B2(net26), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0790_)); - sky130_fd_sc_hd__nor2_1 _1483_ (.A(net42), - .B(net34), + .X(_0790_)); + sky130_fd_sc_hd__or2_1 _1483_ (.A(net51), + .B(net28), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0791_)); - sky130_fd_sc_hd__o22a_1 _1484_ (.A1(_0563_), - .A2(net30), - .B1(net21), - .B2(net80), + .X(_0791_)); + sky130_fd_sc_hd__o221a_1 _1484_ (.A1(net70), + .A2(net55), + .B1(net19), + .B2(net96), + .C1(_0789_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0792_)); - sky130_fd_sc_hd__o22a_1 _1485_ (.A1(net83), - .A2(net65), - .B1(net51), - .B2(net25), + sky130_fd_sc_hd__and4b_1 _1485_ (.A_N(_0639_), + .B(_0717_), + .C(_0788_), + .D(_0791_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0793_)); - sky130_fd_sc_hd__a21o_1 _1486_ (.A1(net65), - .A2(net52), - .B1(net13), + sky130_fd_sc_hd__a31o_1 _1486_ (.A1(_0790_), + .A2(_0792_), + .A3(_0793_), + .B1(net12), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0794_)); - sky130_fd_sc_hd__and4_1 _1487_ (.A(_0690_), - .B(_0792_), - .C(_0793_), - .D(_0794_), + sky130_fd_sc_hd__o21a_1 _1487_ (.A1(_0644_), + .A2(_0787_), + .B1(_0794_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0795_)); - sky130_fd_sc_hd__or3b_1 _1488_ (.A(_0611_), - .B(_0706_), - .C_N(_0795_), + sky130_fd_sc_hd__o2111a_1 _1488_ (.A1(_0565_), + .A2(_0784_), + .B1(_0795_), + .C1(_0777_), + .D1(_0783_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0796_)); - sky130_fd_sc_hd__or4_1 _1489_ (.A(_0631_), - .B(_0750_), - .C(_0791_), - .D(_0796_), + sky130_fd_sc_hd__o22a_1 _1489_ (.A1(net46), + .A2(net35), + .B1(net32), + .B2(net26), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0797_)); - sky130_fd_sc_hd__a211o_1 _1490_ (.A1(_0555_), - .A2(_0797_), - .B1(_0790_), - .C1(_0775_), + sky130_fd_sc_hd__o31a_1 _1490_ (.A1(\main.demo._q_addr[0] ), + .A2(_0588_), + .A3(net51), + .B1(_0797_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0798_)); - sky130_fd_sc_hd__a21o_1 _1491_ (.A1(net81), - .A2(net73), - .B1(net46), + sky130_fd_sc_hd__or4bb_1 _1491_ (.A(_0667_), + .B(_0728_), + .C_N(_0729_), + .D_N(_0798_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0799_)); - sky130_fd_sc_hd__o22a_1 _1492_ (.A1(_0573_), - .A2(net66), - .B1(net13), - .B2(net87), + sky130_fd_sc_hd__a21oi_1 _1492_ (.A1(net66), + .A2(net39), + .B1(net70), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0800_)); - sky130_fd_sc_hd__o22a_1 _1493_ (.A1(net77), - .A2(net59), - .B1(net43), - .B2(net70), + .Y(_0800_)); + sky130_fd_sc_hd__a21o_1 _1493_ (.A1(net45), + .A2(net30), + .B1(net71), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0801_)); - sky130_fd_sc_hd__and4_1 _1494_ (.A(_0583_), - .B(_0799_), - .C(_0800_), - .D(_0801_), + sky130_fd_sc_hd__a21oi_1 _1494_ (.A1(net43), + .A2(net28), + .B1(net70), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0802_)); - sky130_fd_sc_hd__inv_2 _1495_ (.A(_0802_), + .Y(_0802_)); + sky130_fd_sc_hd__o22ai_1 _1495_ (.A1(net35), + .A2(net28), + .B1(net22), + .B2(net31), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0803_)); - sky130_fd_sc_hd__or4_1 _1496_ (.A(_0656_), - .B(_0729_), - .C(_0760_), - .D(_0791_), + sky130_fd_sc_hd__or4_1 _1496_ (.A(_0771_), + .B(_0800_), + .C(_0802_), + .D(_0803_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0804_)); - sky130_fd_sc_hd__a21o_1 _1497_ (.A1(net80), - .A2(net33), - .B1(net73), + sky130_fd_sc_hd__o22a_1 _1497_ (.A1(net79), + .A2(net51), + .B1(net43), + .B2(net35), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0805_)); - sky130_fd_sc_hd__o22a_1 _1498_ (.A1(net66), - .A2(net43), - .B1(net17), - .B2(net89), + sky130_fd_sc_hd__o221a_1 _1498_ (.A1(net70), + .A2(net22), + .B1(net16), + .B2(net31), + .C1(_0805_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0806_)); - sky130_fd_sc_hd__nand2_1 _1499_ (.A(_0805_), - .B(_0806_), + sky130_fd_sc_hd__or4b_1 _1499_ (.A(_0636_), + .B(_0695_), + .C(_0804_), + .D_N(_0806_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0807_)); - sky130_fd_sc_hd__a21oi_1 _1500_ (.A1(net38), - .A2(net25), - .B1(net43), + .X(_0807_)); + sky130_fd_sc_hd__o22a_1 _1500_ (.A1(net92), + .A2(net84), + .B1(net79), + .B2(net74), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0808_)); - sky130_fd_sc_hd__nor2_1 _1501_ (.A(net87), - .B(net80), + .X(_0808_)); + sky130_fd_sc_hd__o22a_1 _1501_ (.A1(net97), + .A2(net55), + .B1(net48), + .B2(net62), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0809_)); - sky130_fd_sc_hd__a211o_1 _1502_ (.A1(_0622_), - .A2(_0629_), - .B1(_0808_), - .C1(_0809_), + .X(_0809_)); + sky130_fd_sc_hd__nand2_1 _1502_ (.A(_0808_), + .B(_0809_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0810_)); - sky130_fd_sc_hd__o22a_1 _1503_ (.A1(net70), - .A2(net47), - .B1(net20), - .B2(_0565_), + .Y(_0810_)); + sky130_fd_sc_hd__o22a_1 _1503_ (.A1(net97), + .A2(net60), + .B1(net46), + .B2(net72), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0811_)); - sky130_fd_sc_hd__nor2_1 _1504_ (.A(net79), - .B(_0581_), + sky130_fd_sc_hd__o21ai_1 _1504_ (.A1(net96), + .A2(net20), + .B1(_0811_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0812_)); - sky130_fd_sc_hd__o221a_1 _1505_ (.A1(net80), - .A2(_0581_), - .B1(net57), - .B2(net81), - .C1(_0811_), + sky130_fd_sc_hd__nor3_1 _1505_ (.A(_0760_), + .B(_0810_), + .C(_0812_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0813_)); - sky130_fd_sc_hd__or4b_1 _1506_ (.A(_0646_), - .B(_0807_), - .C(_0810_), - .D_N(_0813_), + .Y(_0813_)); + sky130_fd_sc_hd__o22ai_1 _1506_ (.A1(net76), + .A2(net70), + .B1(net62), + .B2(net60), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0814_)); - sky130_fd_sc_hd__o31a_1 _1507_ (.A1(_0803_), - .A2(_0804_), - .A3(_0814_), - .B1(_0597_), + .Y(_0814_)); + sky130_fd_sc_hd__a21oi_1 _1507_ (.A1(net88), + .A2(net55), + .B1(net70), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0815_)); - sky130_fd_sc_hd__o22a_1 _1508_ (.A1(net82), - .A2(net77), - .B1(net54), - .B2(net89), + .Y(_0815_)); + sky130_fd_sc_hd__a21oi_1 _1508_ (.A1(net39), + .A2(net16), + .B1(net73), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0816_)); - sky130_fd_sc_hd__o22a_1 _1509_ (.A1(net65), - .A2(net43), - .B1(net18), - .B2(net14), + .Y(_0816_)); + sky130_fd_sc_hd__or4_1 _1509_ (.A(_0759_), + .B(_0814_), + .C(_0815_), + .D(_0816_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0817_)); - sky130_fd_sc_hd__o211a_1 _1510_ (.A1(net93), - .A2(net72), - .B1(_0744_), - .C1(_0817_), + sky130_fd_sc_hd__or4_1 _1510_ (.A(_0760_), + .B(_0810_), + .C(_0812_), + .D(_0817_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0818_)); - sky130_fd_sc_hd__a31o_1 _1511_ (.A1(_0620_), - .A2(_0816_), - .A3(_0818_), - .B1(_0556_), + sky130_fd_sc_hd__nor3_1 _1511_ (.A(_0799_), + .B(_0807_), + .C(_0818_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0819_)); - sky130_fd_sc_hd__o22a_1 _1512_ (.A1(net79), - .A2(net53), - .B1(net34), - .B2(net14), + .Y(_0819_)); + sky130_fd_sc_hd__a41o_1 _1512_ (.A1(_0581_), + .A2(_0718_), + .A3(_0737_), + .A4(_0819_), + .B1(_0644_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0820_)); - sky130_fd_sc_hd__o22a_1 _1513_ (.A1(net67), - .A2(net48), - .B1(net45), - .B2(net37), + sky130_fd_sc_hd__o22a_1 _1513_ (.A1(net95), + .A2(net58), + .B1(net38), + .B2(net93), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0821_)); - sky130_fd_sc_hd__a21o_1 _1514_ (.A1(net91), - .A2(net86), - .B1(net79), + sky130_fd_sc_hd__o221a_1 _1514_ (.A1(net68), + .A2(net53), + .B1(_0630_), + .B2(net86), + .C1(_0821_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0822_)); - sky130_fd_sc_hd__a21o_1 _1515_ (.A1(net29), - .A2(net27), - .B1(net68), + sky130_fd_sc_hd__a21o_1 _1515_ (.A1(net71), + .A2(net38), + .B1(net95), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0823_)); - sky130_fd_sc_hd__o22a_1 _1516_ (.A1(net60), - .A2(net28), - .B1(net14), - .B2(net37), + sky130_fd_sc_hd__o221a_1 _1516_ (.A1(net75), + .A2(net68), + .B1(net53), + .B2(net45), + .C1(_0823_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0824_)); - sky130_fd_sc_hd__and4b_1 _1517_ (.A_N(_0762_), - .B(_0820_), - .C(_0823_), - .D(_0824_), + sky130_fd_sc_hd__o22a_1 _1517_ (.A1(_0630_), + .A2(net21), + .B1(net15), + .B2(net25), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0825_)); - sky130_fd_sc_hd__and3_1 _1518_ (.A(_0821_), - .B(_0822_), - .C(_0825_), + sky130_fd_sc_hd__o22a_1 _1518_ (.A1(net98), + .A2(net79), + .B1(net21), + .B2(net17), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0826_)); - sky130_fd_sc_hd__o22ai_1 _1519_ (.A1(net84), - .A2(net74), - .B1(net52), - .B2(net32), + sky130_fd_sc_hd__and4b_1 _1519_ (.A_N(_0650_), + .B(_0684_), + .C(_0825_), + .D(_0826_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0827_)); - sky130_fd_sc_hd__o22ai_1 _1520_ (.A1(net55), - .A2(net36), - .B1(net16), - .B2(net42), + .X(_0827_)); + sky130_fd_sc_hd__and3_1 _1520_ (.A(_0822_), + .B(_0824_), + .C(_0827_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0828_)); - sky130_fd_sc_hd__o22ai_2 _1521_ (.A1(net67), - .A2(net22), - .B1(net16), - .B2(net55), + .X(_0828_)); + sky130_fd_sc_hd__o22a_1 _1521_ (.A1(net89), + .A2(net37), + .B1(net30), + .B2(net81), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0829_)); - sky130_fd_sc_hd__a21oi_1 _1522_ (.A1(net24), - .A2(net19), - .B1(net45), + .X(_0829_)); + sky130_fd_sc_hd__o22a_1 _1522_ (.A1(net82), + .A2(net78), + .B1(net63), + .B2(net93), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0830_)); - sky130_fd_sc_hd__or4_1 _1523_ (.A(_0827_), - .B(_0828_), + .X(_0830_)); + sky130_fd_sc_hd__and4_1 _1523_ (.A(_0700_), + .B(_0765_), .C(_0829_), .D(_0830_), .VGND(VGND), @@ -5010,6455 +4906,6609 @@ module tt_um_whynot (clk, .VPB(VPWR), .VPWR(VPWR), .X(_0831_)); - sky130_fd_sc_hd__a21oi_1 _1524_ (.A1(net24), - .A2(net19), - .B1(net42), + sky130_fd_sc_hd__o22a_1 _1524_ (.A1(net96), + .A2(net64), + .B1(net45), + .B2(net74), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0832_)); - sky130_fd_sc_hd__or2_1 _1525_ (.A(_0601_), - .B(_0832_), + .X(_0832_)); + sky130_fd_sc_hd__and3_1 _1525_ (.A(_0781_), + .B(_0788_), + .C(_0832_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0833_)); - sky130_fd_sc_hd__a21o_1 _1526_ (.A1(net24), - .A2(net19), - .B1(net78), + sky130_fd_sc_hd__a21o_1 _1526_ (.A1(net66), + .A2(net39), + .B1(net13), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0834_)); - sky130_fd_sc_hd__o221a_1 _1527_ (.A1(net63), - .A2(net39), - .B1(net34), - .B2(net15), - .C1(_0834_), + sky130_fd_sc_hd__o21a_1 _1527_ (.A1(net69), + .A2(net33), + .B1(_0834_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0835_)); - sky130_fd_sc_hd__or4b_1 _1528_ (.A(_0716_), + sky130_fd_sc_hd__and4b_1 _1528_ (.A_N(_0768_), .B(_0831_), .C(_0833_), - .D_N(_0835_), + .D(_0835_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0836_)); - sky130_fd_sc_hd__a2bb2o_1 _1529_ (.A1_N(_0556_), - .A2_N(_0826_), - .B1(_0836_), - .B2(_0571_), + sky130_fd_sc_hd__a21o_1 _1529_ (.A1(_0828_), + .A2(_0836_), + .B1(_0565_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0837_)); - sky130_fd_sc_hd__a21o_1 _1530_ (.A1(net65), - .A2(net35), - .B1(_0615_), + sky130_fd_sc_hd__o22a_1 _1530_ (.A1(net50), + .A2(net44), + .B1(net34), + .B2(net95), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0838_)); - sky130_fd_sc_hd__nor2_1 _1531_ (.A(net57), - .B(net35), + sky130_fd_sc_hd__or2_1 _1531_ (.A(net96), + .B(net13), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0839_)); - sky130_fd_sc_hd__nor2_1 _1532_ (.A(_0568_), - .B(_0839_), + .X(_0839_)); + sky130_fd_sc_hd__or2_1 _1532_ (.A(_0628_), + .B(net20), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0840_)); - sky130_fd_sc_hd__and4_1 _1533_ (.A(_0651_), - .B(_0672_), - .C(_0838_), - .D(_0840_), + .X(_0840_)); + sky130_fd_sc_hd__o22a_1 _1533_ (.A1(net79), + .A2(net59), + .B1(net49), + .B2(net46), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0841_)); - sky130_fd_sc_hd__o22a_1 _1534_ (.A1(net53), - .A2(net49), - .B1(net45), - .B2(net68), + sky130_fd_sc_hd__o221a_1 _1534_ (.A1(net91), + .A2(net19), + .B1(net14), + .B2(net46), + .C1(_0735_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0842_)); - sky130_fd_sc_hd__nor3b_1 _1535_ (.A(_0729_), - .B(_0791_), - .C_N(_0842_), + sky130_fd_sc_hd__and3_1 _1535_ (.A(_0784_), + .B(_0839_), + .C(_0841_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0843_)); - sky130_fd_sc_hd__o22a_1 _1536_ (.A1(net67), - .A2(net29), - .B1(net27), - .B2(net53), + .X(_0843_)); + sky130_fd_sc_hd__and4b_1 _1536_ (.A_N(_0629_), + .B(_0631_), + .C(_0838_), + .D(_0840_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0844_)); - sky130_fd_sc_hd__o22a_1 _1537_ (.A1(net88), - .A2(net58), - .B1(net37), - .B2(net29), + sky130_fd_sc_hd__a31o_1 _1537_ (.A1(_0842_), + .A2(_0843_), + .A3(_0844_), + .B1(net12), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0845_)); - sky130_fd_sc_hd__a21o_1 _1538_ (.A1(net76), - .A2(net45), - .B1(net37), + sky130_fd_sc_hd__or2_1 _1538_ (.A(net73), + .B(net28), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0846_)); - sky130_fd_sc_hd__o2111a_1 _1539_ (.A1(net72), - .A2(net58), - .B1(_0844_), - .C1(_0845_), - .D1(_0846_), + sky130_fd_sc_hd__a21oi_1 _1539_ (.A1(net28), + .A2(net27), + .B1(net74), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0847_)); - sky130_fd_sc_hd__and4_1 _1540_ (.A(_0698_), - .B(_0785_), - .C(_0843_), - .D(_0847_), + .Y(_0847_)); + sky130_fd_sc_hd__o2111a_1 _1540_ (.A1(net62), + .A2(net43), + .B1(_0729_), + .C1(_0832_), + .D1(_0846_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0848_)); - sky130_fd_sc_hd__a21oi_1 _1541_ (.A1(_0841_), - .A2(_0848_), - .B1(_0572_), + sky130_fd_sc_hd__nor2_1 _1541_ (.A(net12), + .B(_0848_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0849_)); - sky130_fd_sc_hd__or4b_1 _1542_ (.A(_0815_), - .B(_0837_), - .C(_0849_), - .D_N(_0819_), + sky130_fd_sc_hd__or2_1 _1542_ (.A(net12), + .B(_0848_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0850_)); - sky130_fd_sc_hd__or2_1 _1543_ (.A(_0798_), - .B(_0850_), + sky130_fd_sc_hd__and3_1 _1543_ (.A(_0837_), + .B(_0845_), + .C(_0850_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0851_)); - sky130_fd_sc_hd__a21oi_1 _1544_ (.A1(net40), - .A2(net16), - .B1(net78), + sky130_fd_sc_hd__a21o_1 _1544_ (.A1(net46), + .A2(net29), + .B1(net54), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0852_)); - sky130_fd_sc_hd__o21ai_1 _1545_ (.A1(_0830_), - .A2(_0852_), - .B1(_0555_), + .X(_0852_)); + sky130_fd_sc_hd__and2_1 _1545_ (.A(_0778_), + .B(_0852_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0853_)); - sky130_fd_sc_hd__inv_2 _1546_ (.A(_0853_), + .X(_0853_)); + sky130_fd_sc_hd__o22a_1 _1546_ (.A1(net72), + .A2(net27), + .B1(net17), + .B2(net64), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0854_)); - sky130_fd_sc_hd__o22a_1 _1547_ (.A1(net91), - .A2(net78), - .B1(net55), - .B2(net85), + .X(_0854_)); + sky130_fd_sc_hd__or2_1 _1547_ (.A(_0592_), + .B(net38), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0855_)); - sky130_fd_sc_hd__o211ai_1 _1548_ (.A1(net71), - .A2(net34), - .B1(_0692_), - .C1(_0855_), + sky130_fd_sc_hd__and3b_1 _1548_ (.A_N(_0624_), + .B(_0854_), + .C(_0855_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0856_)); - sky130_fd_sc_hd__o22ai_1 _1549_ (.A1(net82), - .A2(net55), - .B1(net12), - .B2(net85), + .X(_0856_)); + sky130_fd_sc_hd__and3_1 _1549_ (.A(_0716_), + .B(_0732_), + .C(_0746_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0857_)); - sky130_fd_sc_hd__o41a_1 _1550_ (.A1(_0619_), - .A2(_0621_), - .A3(_0856_), - .A4(_0857_), - .B1(_0571_), + .X(_0857_)); + sky130_fd_sc_hd__nor2_1 _1550_ (.A(net81), + .B(net24), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0858_)); - sky130_fd_sc_hd__or2_1 _1551_ (.A(_0854_), - .B(_0858_), + .Y(_0858_)); + sky130_fd_sc_hd__o22a_1 _1551_ (.A1(net99), + .A2(net29), + .B1(net23), + .B2(net80), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0859_)); - sky130_fd_sc_hd__o22a_1 _1552_ (.A1(net78), - .A2(net40), - .B1(net15), - .B2(net61), + sky130_fd_sc_hd__o221a_1 _1552_ (.A1(net91), + .A2(net53), + .B1(net22), + .B2(net84), + .C1(_0859_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0860_)); - sky130_fd_sc_hd__a21o_1 _1553_ (.A1(net78), - .A2(net71), - .B1(net63), + sky130_fd_sc_hd__a21o_1 _1553_ (.A1(net80), + .A2(net73), + .B1(net91), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0861_)); - sky130_fd_sc_hd__o221a_1 _1554_ (.A1(net91), - .A2(net39), - .B1(net22), - .B2(net61), + sky130_fd_sc_hd__o221a_1 _1554_ (.A1(net80), + .A2(net29), + .B1(net26), + .B2(net62), .C1(_0861_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0862_)); - sky130_fd_sc_hd__a21oi_1 _1555_ (.A1(net71), - .A2(net12), - .B1(net61), + sky130_fd_sc_hd__o22ai_1 _1555_ (.A1(net87), + .A2(net46), + .B1(net34), + .B2(net91), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0863_)); - sky130_fd_sc_hd__a21oi_1 _1556_ (.A1(net52), - .A2(net19), - .B1(net71), + sky130_fd_sc_hd__a31o_1 _1556_ (.A1(net41), + .A2(net30), + .A3(net18), + .B1(net87), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0864_)); - sky130_fd_sc_hd__o22a_1 _1557_ (.A1(net78), - .A2(net36), - .B1(net22), - .B2(net16), + .X(_0864_)); + sky130_fd_sc_hd__and2b_1 _1557_ (.A_N(_0863_), + .B(_0864_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0865_)); - sky130_fd_sc_hd__o211ai_1 _1558_ (.A1(net58), - .A2(net22), - .B1(_0862_), - .C1(_0865_), + sky130_fd_sc_hd__and4_1 _1558_ (.A(_0857_), + .B(_0860_), + .C(_0862_), + .D(_0865_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0866_)); - sky130_fd_sc_hd__or4b_1 _1559_ (.A(_0605_), - .B(_0687_), - .C(_0863_), - .D_N(_0860_), + .X(_0866_)); + sky130_fd_sc_hd__a41o_1 _1559_ (.A1(_0611_), + .A2(_0853_), + .A3(_0856_), + .A4(_0866_), + .B1(net12), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0867_)); - sky130_fd_sc_hd__a21oi_1 _1560_ (.A1(net67), - .A2(net40), - .B1(net71), + sky130_fd_sc_hd__o21a_1 _1560_ (.A1(net29), + .A2(net19), + .B1(_0780_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0868_)); - sky130_fd_sc_hd__o22a_1 _1561_ (.A1(net88), - .A2(net24), - .B1(net16), - .B2(net45), + .X(_0868_)); + sky130_fd_sc_hd__o22a_1 _1561_ (.A1(net54), + .A2(net41), + .B1(net38), + .B2(net47), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0869_)); - sky130_fd_sc_hd__a21oi_1 _1562_ (.A1(net34), - .A2(net16), - .B1(net12), + sky130_fd_sc_hd__and2_1 _1562_ (.A(_0723_), + .B(_0869_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0870_)); - sky130_fd_sc_hd__or4b_1 _1563_ (.A(_0812_), - .B(_0870_), - .C(_0864_), - .D_N(_0869_), + .X(_0870_)); + sky130_fd_sc_hd__o22a_1 _1563_ (.A1(net87), + .A2(net42), + .B1(net26), + .B2(net72), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0871_)); - sky130_fd_sc_hd__or4_1 _1564_ (.A(_0782_), - .B(_0867_), - .C(_0868_), + sky130_fd_sc_hd__and4_1 _1564_ (.A(_0694_), + .B(_0868_), + .C(_0870_), .D(_0871_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0872_)); - sky130_fd_sc_hd__o21ai_1 _1565_ (.A1(_0866_), - .A2(_0872_), - .B1(_0571_), + sky130_fd_sc_hd__o311a_1 _1565_ (.A1(\main.demo._q_addr[0] ), + .A2(net70), + .A3(_0588_), + .B1(_0789_), + .C1(_0839_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0873_)); - sky130_fd_sc_hd__a21o_1 _1566_ (.A1(net68), - .A2(net36), - .B1(net78), + .X(_0873_)); + sky130_fd_sc_hd__o22a_1 _1566_ (.A1(net59), + .A2(net55), + .B1(net46), + .B2(net97), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0874_)); - sky130_fd_sc_hd__o22a_1 _1567_ (.A1(net54), - .A2(net46), - .B1(net28), - .B2(net17), + sky130_fd_sc_hd__o21ba_1 _1567_ (.A1(net84), + .A2(net22), + .B1_N(_0771_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0875_)); - sky130_fd_sc_hd__a21oi_1 _1568_ (.A1(net81), - .A2(net73), - .B1(net28), + sky130_fd_sc_hd__and4_1 _1568_ (.A(_0840_), + .B(_0873_), + .C(_0874_), + .D(_0875_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0876_)); - sky130_fd_sc_hd__nor2_1 _1569_ (.A(net41), - .B(net14), + .X(_0876_)); + sky130_fd_sc_hd__a31o_1 _1569_ (.A1(_0813_), + .A2(_0872_), + .A3(_0876_), + .B1(_0565_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0877_)); - sky130_fd_sc_hd__and3b_1 _1570_ (.A_N(_0876_), - .B(_0875_), - .C(_0645_), + .X(_0877_)); + sky130_fd_sc_hd__o22a_1 _1570_ (.A1(net64), + .A2(net56), + .B1(net20), + .B2(net88), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0878_)); - sky130_fd_sc_hd__nor4_1 _1571_ (.A(_0735_), - .B(_0766_), - .C(_0784_), - .D(_0877_), + sky130_fd_sc_hd__o22a_1 _1571_ (.A1(net96), + .A2(net53), + .B1(net22), + .B2(net74), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0879_)); - sky130_fd_sc_hd__a21boi_1 _1572_ (.A1(_0418_), - .A2(_0616_), - .B1_N(_0874_), + .X(_0879_)); + sky130_fd_sc_hd__o221a_1 _1572_ (.A1(net84), + .A2(net61), + .B1(net53), + .B2(net45), + .C1(_0879_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0880_)); - sky130_fd_sc_hd__a31o_1 _1573_ (.A1(_0878_), - .A2(_0879_), + .X(_0880_)); + sky130_fd_sc_hd__a31o_1 _1573_ (.A1(_0693_), + .A2(_0878_), .A3(_0880_), - .B1(_0556_), + .B1(_0644_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0881_)); - sky130_fd_sc_hd__or4_1 _1574_ (.A(_0419_), - .B(net106), - .C(_0421_), - .D(net23), + sky130_fd_sc_hd__and3_1 _1574_ (.A(_0867_), + .B(_0877_), + .C(_0881_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0882_)); - sky130_fd_sc_hd__o21ai_1 _1575_ (.A1(_0598_), - .A2(_0882_), - .B1(_0881_), + sky130_fd_sc_hd__and4_1 _1575_ (.A(_0796_), + .B(_0820_), + .C(_0851_), + .D(_0882_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0883_)); - sky130_fd_sc_hd__and2b_1 _1576_ (.A_N(_0883_), - .B(_0873_), + .X(_0883_)); + sky130_fd_sc_hd__nor2_1 _1576_ (.A(net98), + .B(_0630_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0884_)); - sky130_fd_sc_hd__or3b_1 _1577_ (.A(_0859_), - .B(_0883_), - .C_N(_0873_), + .Y(_0884_)); + sky130_fd_sc_hd__o22a_1 _1577_ (.A1(net92), + .A2(net36), + .B1(net27), + .B2(net99), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0885_)); - sky130_fd_sc_hd__o22a_1 _1578_ (.A1(net54), - .A2(net47), - .B1(net26), - .B2(net13), + sky130_fd_sc_hd__a21o_1 _1578_ (.A1(net92), + .A2(net48), + .B1(_0575_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0886_)); - sky130_fd_sc_hd__o21ai_1 _1579_ (.A1(net77), - .A2(net20), - .B1(_0886_), + sky130_fd_sc_hd__o221a_1 _1579_ (.A1(net97), + .A2(net29), + .B1(net13), + .B2(net45), + .C1(_0839_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0887_)); - sky130_fd_sc_hd__a2bb2o_1 _1580_ (.A1_N(net50), - .A2_N(net41), - .B1(_0612_), - .B2(_0625_), + .X(_0887_)); + sky130_fd_sc_hd__o22a_1 _1580_ (.A1(net87), + .A2(net48), + .B1(net30), + .B2(net20), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0888_)); - sky130_fd_sc_hd__or4_1 _1581_ (.A(_0700_), - .B(_0807_), - .C(_0887_), + sky130_fd_sc_hd__and4_1 _1581_ (.A(_0825_), + .B(_0885_), + .C(_0886_), .D(_0888_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0889_)); - sky130_fd_sc_hd__o221a_1 _1582_ (.A1(net63), - .A2(net45), - .B1(net40), - .B2(net76), - .C1(_0659_), + sky130_fd_sc_hd__nand3_1 _1582_ (.A(_0750_), + .B(_0887_), + .C(_0889_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0890_)); - sky130_fd_sc_hd__o22a_1 _1583_ (.A1(net65), - .A2(net57), - .B1(net50), - .B2(net17), + .Y(_0890_)); + sky130_fd_sc_hd__o22a_1 _1583_ (.A1(net88), + .A2(net70), + .B1(net59), + .B2(net22), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0891_)); - sky130_fd_sc_hd__o2111a_1 _1584_ (.A1(net62), - .A2(net43), - .B1(_0691_), - .C1(_0720_), - .D1(_0891_), + sky130_fd_sc_hd__or3b_1 _1584_ (.A(_0622_), + .B(_0635_), + .C_N(_0891_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0892_)); - sky130_fd_sc_hd__nand4_1 _1585_ (.A(_0670_), - .B(_0779_), - .C(_0890_), - .D(_0892_), + sky130_fd_sc_hd__o22a_1 _1585_ (.A1(net62), + .A2(net55), + .B1(net54), + .B2(net26), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0893_)); - sky130_fd_sc_hd__o21ai_1 _1586_ (.A1(_0889_), - .A2(_0893_), - .B1(_0571_), + .X(_0893_)); + sky130_fd_sc_hd__o211ai_1 _1586_ (.A1(net69), + .A2(net33), + .B1(_0730_), + .C1(_0834_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0894_)); - sky130_fd_sc_hd__o221a_1 _1587_ (.A1(net82), - .A2(net49), - .B1(net45), - .B2(net91), - .C1(_0763_), + sky130_fd_sc_hd__or4b_1 _1587_ (.A(_0785_), + .B(_0800_), + .C(_0814_), + .D_N(_0893_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0895_)); - sky130_fd_sc_hd__o221a_1 _1588_ (.A1(net74), - .A2(net31), - .B1(net21), - .B2(net76), - .C1(_0749_), + sky130_fd_sc_hd__or3_1 _1588_ (.A(_0892_), + .B(_0894_), + .C(_0895_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0896_)); - sky130_fd_sc_hd__a31o_1 _1589_ (.A1(_0765_), - .A2(_0895_), - .A3(_0896_), - .B1(_0556_), + sky130_fd_sc_hd__a22oi_2 _1589_ (.A1(_0643_), + .A2(_0890_), + .B1(_0896_), + .B2(_0664_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0897_)); - sky130_fd_sc_hd__o22a_1 _1590_ (.A1(net72), - .A2(_0581_), - .B1(net57), - .B2(net66), + .Y(_0897_)); + sky130_fd_sc_hd__a22o_1 _1590_ (.A1(_0643_), + .A2(_0890_), + .B1(_0896_), + .B2(_0664_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0898_)); - sky130_fd_sc_hd__o22a_1 _1591_ (.A1(net93), - .A2(net50), - .B1(net13), - .B2(net59), + sky130_fd_sc_hd__a21o_1 _1591_ (.A1(net59), + .A2(net36), + .B1(net23), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0899_)); - sky130_fd_sc_hd__nand2_1 _1592_ (.A(_0898_), - .B(_0899_), + sky130_fd_sc_hd__o21a_1 _1592_ (.A1(net62), + .A2(net18), + .B1(_0899_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0900_)); - sky130_fd_sc_hd__a211o_1 _1593_ (.A1(_0418_), - .A2(_0419_), - .B1(net106), - .C1(_0421_), + .X(_0900_)); + sky130_fd_sc_hd__o221a_1 _1593_ (.A1(net79), + .A2(net62), + .B1(net60), + .B2(net87), + .C1(_0750_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0901_)); - sky130_fd_sc_hd__a21oi_1 _1594_ (.A1(net93), - .A2(_0901_), - .B1(net83), + sky130_fd_sc_hd__and4_1 _1594_ (.A(_0841_), + .B(_0853_), + .C(_0900_), + .D(_0901_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0902_)); - sky130_fd_sc_hd__a21oi_1 _1595_ (.A1(net73), - .A2(net17), - .B1(net13), + .X(_0902_)); + sky130_fd_sc_hd__nor3_1 _1595_ (.A(_0769_), + .B(_0774_), + .C(_0803_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0903_)); - sky130_fd_sc_hd__or4_1 _1596_ (.A(_0721_), - .B(_0877_), - .C(_0902_), - .D(_0903_), + sky130_fd_sc_hd__o22a_1 _1596_ (.A1(net85), + .A2(net67), + .B1(net58), + .B2(net40), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0904_)); - sky130_fd_sc_hd__a21oi_1 _1597_ (.A1(net77), - .A2(net46), - .B1(net26), + sky130_fd_sc_hd__o221a_1 _1597_ (.A1(net89), + .A2(_0601_), + .B1(net34), + .B2(net27), + .C1(_0904_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0905_)); - sky130_fd_sc_hd__or4_1 _1598_ (.A(_0650_), - .B(_0748_), - .C(_0876_), + .X(_0905_)); + sky130_fd_sc_hd__and4b_1 _1598_ (.A_N(_0681_), + .B(_0704_), + .C(_0903_), .D(_0905_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0906_)); - sky130_fd_sc_hd__and3b_1 _1599_ (.A_N(_0734_), - .B(_0838_), - .C(_0875_), + sky130_fd_sc_hd__a21o_1 _1599_ (.A1(_0902_), + .A2(_0906_), + .B1(_0565_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0907_)); - sky130_fd_sc_hd__or3b_1 _1600_ (.A(_0900_), - .B(_0906_), - .C_N(_0907_), + sky130_fd_sc_hd__o22ai_1 _1600_ (.A1(net25), + .A2(net21), + .B1(net15), + .B2(net41), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0908_)); - sky130_fd_sc_hd__o21ai_2 _1601_ (.A1(_0904_), - .A2(_0908_), - .B1(_0597_), + .Y(_0908_)); + sky130_fd_sc_hd__o221ai_4 _1601_ (.A1(net56), + .A2(net36), + .B1(net26), + .B2(net84), + .C1(_0846_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0909_)); - sky130_fd_sc_hd__o22ai_1 _1602_ (.A1(net85), - .A2(net75), - .B1(net34), - .B2(net88), + sky130_fd_sc_hd__or3b_1 _1602_ (.A(_0591_), + .B(_0678_), + .C_N(_0826_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0910_)); - sky130_fd_sc_hd__or2_1 _1603_ (.A(_0864_), - .B(_0910_), + .X(_0910_)); + sky130_fd_sc_hd__or4b_1 _1603_ (.A(_0858_), + .B(_0908_), + .C(_0909_), + .D_N(_0856_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0911_)); - sky130_fd_sc_hd__o22a_1 _1604_ (.A1(net80), - .A2(net17), - .B1(net13), - .B2(net54), + sky130_fd_sc_hd__o21ai_1 _1604_ (.A1(_0910_), + .A2(_0911_), + .B1(_0643_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0912_)); - sky130_fd_sc_hd__or3b_1 _1605_ (.A(_0574_), - .B(_0735_), - .C_N(_0912_), + .Y(_0912_)); + sky130_fd_sc_hd__and4b_1 _1605_ (.A_N(_0649_), + .B(_0731_), + .C(_0801_), + .D(_0885_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0913_)); - sky130_fd_sc_hd__or4_1 _1606_ (.A(_0766_), - .B(_0829_), - .C(_0911_), - .D(_0913_), + sky130_fd_sc_hd__and3_1 _1606_ (.A(_0739_), + .B(_0905_), + .C(_0913_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0914_)); - sky130_fd_sc_hd__o22a_1 _1607_ (.A1(net80), - .A2(net66), - .B1(net46), - .B2(net38), + sky130_fd_sc_hd__o22a_1 _1607_ (.A1(net34), + .A2(net30), + .B1(net15), + .B2(_0594_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0915_)); - sky130_fd_sc_hd__o221a_1 _1608_ (.A1(net73), - .A2(net57), - .B1(net46), - .B2(net20), - .C1(_0915_), + sky130_fd_sc_hd__and3_1 _1608_ (.A(_0723_), + .B(_0869_), + .C(_0915_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0916_)); - sky130_fd_sc_hd__or4b_1 _1609_ (.A(_0568_), - .B(_0839_), - .C(_0888_), - .D_N(_0916_), + sky130_fd_sc_hd__nor3b_1 _1609_ (.A(_0607_), + .B(_0720_), + .C_N(_0721_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0917_)); - sky130_fd_sc_hd__nor4_1 _1610_ (.A(_0747_), - .B(_0759_), - .C(_0914_), - .D(_0917_), + .Y(_0917_)); + sky130_fd_sc_hd__o22a_1 _1610_ (.A1(net94), + .A2(net81), + .B1(net78), + .B2(net37), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0918_)); - sky130_fd_sc_hd__a21o_1 _1611_ (.A1(net69), - .A2(net59), - .B1(net33), + .X(_0918_)); + sky130_fd_sc_hd__o22a_1 _1611_ (.A1(net81), + .A2(_0582_), + .B1(net58), + .B2(net44), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0919_)); - sky130_fd_sc_hd__a21o_1 _1612_ (.A1(net52), - .A2(net35), - .B1(net30), + sky130_fd_sc_hd__o2111a_1 _1612_ (.A1(net40), + .A2(net34), + .B1(_0918_), + .C1(_0919_), + .D1(_0574_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0920_)); - sky130_fd_sc_hd__o221a_1 _1613_ (.A1(net27), - .A2(net17), - .B1(net12), - .B2(net41), - .C1(_0654_), + sky130_fd_sc_hd__o22a_1 _1613_ (.A1(_0583_), + .A2(net75), + .B1(net57), + .B2(net20), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0921_)); - sky130_fd_sc_hd__o311a_1 _1614_ (.A1(net106), - .A2(_0576_), - .A3(net48), - .B1(_0920_), - .C1(_0921_), + sky130_fd_sc_hd__o22a_1 _1614_ (.A1(net94), + .A2(net58), + .B1(net57), + .B2(net37), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0922_)); - sky130_fd_sc_hd__a31o_1 _1615_ (.A1(_0912_), - .A2(_0919_), - .A3(_0922_), - .B1(_0572_), + sky130_fd_sc_hd__o311a_1 _1615_ (.A1(net115), + .A2(_0588_), + .A3(net32), + .B1(_0921_), + .C1(_0922_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0923_)); - sky130_fd_sc_hd__o22a_1 _1616_ (.A1(net84), - .A2(net24), - .B1(net19), - .B2(net27), + sky130_fd_sc_hd__and4_1 _1616_ (.A(_0916_), + .B(_0917_), + .C(_0920_), + .D(_0923_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0924_)); - sky130_fd_sc_hd__a31o_1 _1617_ (.A1(_0679_), - .A2(_0842_), - .A3(_0924_), - .B1(_0556_), + sky130_fd_sc_hd__a21o_1 _1617_ (.A1(_0914_), + .A2(_0924_), + .B1(_0665_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0925_)); - sky130_fd_sc_hd__o211a_1 _1618_ (.A1(_0598_), - .A2(_0918_), - .B1(_0923_), - .C1(_0925_), + sky130_fd_sc_hd__and3_1 _1618_ (.A(_0907_), + .B(_0912_), + .C(_0925_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0926_)); - sky130_fd_sc_hd__nand4_2 _1619_ (.A(_0894_), - .B(_0897_), - .C(_0909_), - .D(_0926_), + sky130_fd_sc_hd__and2_1 _1619_ (.A(_0897_), + .B(_0926_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0927_)); - sky130_fd_sc_hd__or4_1 _1620_ (.A(_0686_), - .B(_0761_), - .C(_0781_), - .D(_0911_), + .X(_0927_)); + sky130_fd_sc_hd__or2_1 _1620_ (.A(net94), + .B(net49), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0928_)); - sky130_fd_sc_hd__or3b_1 _1621_ (.A(_0743_), - .B(_0900_), - .C_N(_0838_), + sky130_fd_sc_hd__a21o_1 _1621_ (.A1(net86), + .A2(net58), + .B1(net45), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0929_)); - sky130_fd_sc_hd__a21oi_1 _1622_ (.A1(net50), - .A2(net46), - .B1(net41), + sky130_fd_sc_hd__o211a_1 _1622_ (.A1(net49), + .A2(net24), + .B1(_0928_), + .C1(_0929_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0930_)); - sky130_fd_sc_hd__or3_1 _1623_ (.A(_0839_), - .B(_0902_), - .C(_0930_), + .X(_0930_)); + sky130_fd_sc_hd__nor2_1 _1623_ (.A(_0690_), + .B(_0863_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0931_)); - sky130_fd_sc_hd__o22a_1 _1624_ (.A1(net64), - .A2(net50), - .B1(net43), - .B2(net81), + .Y(_0931_)); + sky130_fd_sc_hd__and4b_1 _1624_ (.A_N(_0657_), + .B(_0751_), + .C(_0838_), + .D(_0855_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0932_)); - sky130_fd_sc_hd__o221a_1 _1625_ (.A1(net70), - .A2(net50), - .B1(net46), - .B2(net87), - .C1(_0932_), + sky130_fd_sc_hd__a31o_1 _1625_ (.A1(_0930_), + .A2(_0931_), + .A3(_0932_), + .B1(_0565_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0933_)); - sky130_fd_sc_hd__o22a_1 _1626_ (.A1(_0586_), - .A2(net43), - .B1(net25), - .B2(net72), + sky130_fd_sc_hd__o221a_1 _1626_ (.A1(net21), + .A2(net18), + .B1(net15), + .B2(net25), + .C1(_0928_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0934_)); - sky130_fd_sc_hd__o221a_1 _1627_ (.A1(net80), - .A2(_0581_), - .B1(net57), - .B2(net64), - .C1(_0934_), + sky130_fd_sc_hd__o22a_1 _1627_ (.A1(net98), + .A2(net95), + .B1(net52), + .B2(net44), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0935_)); - sky130_fd_sc_hd__nand2_1 _1628_ (.A(_0933_), - .B(_0935_), + sky130_fd_sc_hd__o2111a_1 _1628_ (.A1(net73), + .A2(net39), + .B1(_0747_), + .C1(_0811_), + .D1(_0934_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0936_)); - sky130_fd_sc_hd__nand2b_1 _1629_ (.A_N(_0704_), - .B(_0802_), + .X(_0936_)); + sky130_fd_sc_hd__o2111a_1 _1629_ (.A1(net57), + .A2(net52), + .B1(_0616_), + .C1(_0935_), + .D1(_0936_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0937_)); - sky130_fd_sc_hd__or4_1 _1630_ (.A(_0929_), - .B(_0931_), - .C(_0936_), - .D(_0937_), + .X(_0937_)); + sky130_fd_sc_hd__a21o_1 _1630_ (.A1(net68), + .A2(net48), + .B1(_0584_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0938_)); - sky130_fd_sc_hd__o41a_1 _1631_ (.A1(_0628_), - .A2(_0833_), - .A3(_0928_), - .A4(_0938_), - .B1(_0555_), + sky130_fd_sc_hd__and3_1 _1631_ (.A(_0685_), + .B(_0840_), + .C(_0938_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0939_)); - sky130_fd_sc_hd__o2111a_1 _1632_ (.A1(net63), - .A2(net39), - .B1(_0657_), - .C1(_0677_), - .D1(_0834_), + sky130_fd_sc_hd__o22a_1 _1632_ (.A1(net82), + .A2(net47), + .B1(net37), + .B2(net78), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0940_)); - sky130_fd_sc_hd__nand2_1 _1633_ (.A(_0862_), - .B(_0940_), + sky130_fd_sc_hd__o22a_1 _1633_ (.A1(net89), + .A2(net75), + .B1(net63), + .B2(net27), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0941_)); - sky130_fd_sc_hd__o22a_1 _1634_ (.A1(net85), - .A2(net42), - .B1(net27), - .B2(net68), + .X(_0941_)); + sky130_fd_sc_hd__and3_1 _1634_ (.A(_0921_), + .B(_0940_), + .C(_0941_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0942_)); - sky130_fd_sc_hd__and4_1 _1635_ (.A(_0562_), - .B(_0855_), - .C(_0874_), - .D(_0942_), + sky130_fd_sc_hd__and3_1 _1635_ (.A(_0586_), + .B(_0587_), + .C(_0831_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0943_)); - sky130_fd_sc_hd__o22a_1 _1636_ (.A1(net58), - .A2(net48), - .B1(net32), - .B2(net85), + sky130_fd_sc_hd__and3_1 _1636_ (.A(_0939_), + .B(_0942_), + .C(_0943_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0944_)); - sky130_fd_sc_hd__o221a_1 _1637_ (.A1(net88), - .A2(net63), - .B1(net36), - .B2(net27), - .C1(_0944_), + sky130_fd_sc_hd__o221ai_4 _1637_ (.A1(net12), + .A2(_0937_), + .B1(_0944_), + .B2(_0644_), + .C1(_0933_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0945_)); - sky130_fd_sc_hd__and3_1 _1638_ (.A(_0860_), - .B(_0943_), - .C(_0945_), + .Y(_0945_)); + sky130_fd_sc_hd__o22a_1 _1638_ (.A1(net96), + .A2(net74), + .B1(net56), + .B2(net14), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0946_)); - sky130_fd_sc_hd__nand4_1 _1639_ (.A(_0660_), - .B(_0751_), - .C(_0820_), - .D(_0946_), + sky130_fd_sc_hd__o22a_1 _1639_ (.A1(net84), + .A2(net43), + .B1(net14), + .B2(net61), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0947_)); - sky130_fd_sc_hd__o31a_1 _1640_ (.A1(_0728_), - .A2(_0941_), + .X(_0947_)); + sky130_fd_sc_hd__a31o_1 _1640_ (.A1(_0832_), + .A2(_0946_), .A3(_0947_), - .B1(_0597_), + .B1(_0644_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0948_)); - sky130_fd_sc_hd__o22a_1 _1641_ (.A1(net91), - .A2(net84), - .B1(net75), - .B2(net86), + sky130_fd_sc_hd__o22a_1 _1641_ (.A1(net98), + .A2(net93), + .B1(net47), + .B2(net32), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0949_)); - sky130_fd_sc_hd__a21o_1 _1642_ (.A1(_0562_), - .A2(_0949_), - .B1(_0572_), + sky130_fd_sc_hd__or2_1 _1642_ (.A(_0569_), + .B(net32), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0950_)); - sky130_fd_sc_hd__a211o_1 _1643_ (.A1(net37), - .A2(net35), - .B1(net90), - .C1(_0572_), + sky130_fd_sc_hd__a21o_1 _1643_ (.A1(net93), + .A2(net24), + .B1(net63), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0951_)); - sky130_fd_sc_hd__nand2_1 _1644_ (.A(_0950_), - .B(_0951_), + sky130_fd_sc_hd__o221a_1 _1644_ (.A1(net44), + .A2(net37), + .B1(net17), + .B2(net83), + .C1(_0949_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0952_)); - sky130_fd_sc_hd__o22a_1 _1645_ (.A1(net74), - .A2(net56), - .B1(net12), - .B2(net60), + .X(_0952_)); + sky130_fd_sc_hd__o21a_1 _1645_ (.A1(net61), + .A2(net52), + .B1(_0651_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0953_)); - sky130_fd_sc_hd__o21ai_1 _1646_ (.A1(net79), - .A2(net68), - .B1(_0953_), + sky130_fd_sc_hd__and4b_1 _1646_ (.A_N(_0810_), + .B(_0938_), + .C(_0952_), + .D(_0953_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0954_)); - sky130_fd_sc_hd__a221o_1 _1647_ (.A1(_0608_), - .A2(_0612_), - .B1(_0629_), - .B2(_0655_), - .C1(_0642_), + .X(_0954_)); + sky130_fd_sc_hd__a31o_1 _1647_ (.A1(_0950_), + .A2(_0951_), + .A3(_0954_), + .B1(net12), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0955_)); - sky130_fd_sc_hd__o22a_1 _1648_ (.A1(net61), - .A2(net32), - .B1(net22), - .B2(net69), + sky130_fd_sc_hd__nand2_1 _1648_ (.A(_0948_), + .B(_0955_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0956_)); - sky130_fd_sc_hd__or4bb_1 _1649_ (.A(_0954_), - .B(_0656_), - .C_N(_0673_), - .D_N(_0956_), + .Y(_0956_)); + sky130_fd_sc_hd__o22a_1 _1649_ (.A1(net69), + .A2(net51), + .B1(net32), + .B2(net79), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0957_)); - sky130_fd_sc_hd__or4b_1 _1650_ (.A(_0809_), - .B(_0955_), - .C(_0957_), - .D_N(_0882_), + sky130_fd_sc_hd__o22ai_1 _1650_ (.A1(net12), + .A2(_0868_), + .B1(_0957_), + .B2(_0644_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0958_)); - sky130_fd_sc_hd__a21o_1 _1651_ (.A1(_0571_), - .A2(_0958_), - .B1(_0952_), + .Y(_0958_)); + sky130_fd_sc_hd__nand2_1 _1651_ (.A(_0790_), + .B(_0893_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0959_)); - sky130_fd_sc_hd__or4_1 _1652_ (.A(_0885_), - .B(_0939_), - .C(_0948_), - .D(_0959_), + .Y(_0959_)); + sky130_fd_sc_hd__o22ai_1 _1652_ (.A1(net91), + .A2(net59), + .B1(net16), + .B2(net87), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0960_)); - sky130_fd_sc_hd__or4_4 _1653_ (.A(_0757_), - .B(_0851_), - .C(_0927_), - .D(_0960_), + .Y(_0960_)); + sky130_fd_sc_hd__or4b_1 _1653_ (.A(_0770_), + .B(_0959_), + .C(_0960_), + .D_N(_0791_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0961_)); - sky130_fd_sc_hd__nand2_1 _1654_ (.A(_0571_), - .B(_0954_), + sky130_fd_sc_hd__o22a_1 _1654_ (.A1(net93), + .A2(net71), + .B1(net52), + .B2(net47), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0962_)); - sky130_fd_sc_hd__nand2_1 _1655_ (.A(_0951_), - .B(_0962_), + .X(_0962_)); + sky130_fd_sc_hd__o221a_1 _1655_ (.A1(net81), + .A2(net77), + .B1(net75), + .B2(net47), + .C1(_0962_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0963_)); - sky130_fd_sc_hd__or2_1 _1656_ (.A(_0837_), - .B(_0963_), + .X(_0963_)); + sky130_fd_sc_hd__o22a_1 _1656_ (.A1(net77), + .A2(net75), + .B1(net72), + .B2(net44), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0964_)); - sky130_fd_sc_hd__or3_1 _1657_ (.A(_0756_), - .B(_0927_), - .C(_0964_), + sky130_fd_sc_hd__o22a_1 _1657_ (.A1(net75), + .A2(net24), + .B1(net17), + .B2(net83), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0965_)); - sky130_fd_sc_hd__and2b_1 _1658_ (.A_N(_0965_), - .B(_0961_), + sky130_fd_sc_hd__o211a_1 _1658_ (.A1(net89), + .A2(net63), + .B1(_0964_), + .C1(_0965_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0966_)); - sky130_fd_sc_hd__or3_1 _1659_ (.A(_0854_), - .B(_0858_), - .C(_0952_), + sky130_fd_sc_hd__nand2_1 _1659_ (.A(_0963_), + .B(_0966_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0967_)); - sky130_fd_sc_hd__and4b_1 _1660_ (.A_N(_0967_), - .B(_0909_), - .C(_0897_), - .D(_0894_), + .Y(_0967_)); + sky130_fd_sc_hd__or4bb_1 _1660_ (.A(_0858_), + .B(_0884_), + .C_N(_0915_), + .D_N(_0950_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0968_)); - sky130_fd_sc_hd__or4b_2 _1661_ (.A(_0685_), - .B(_0798_), - .C(_0850_), - .D_N(_0968_), + sky130_fd_sc_hd__or3_1 _1661_ (.A(_0909_), + .B(_0967_), + .C(_0968_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0969_)); - sky130_fd_sc_hd__or3b_1 _1662_ (.A(_0965_), - .B(_0969_), - .C_N(_0961_), + sky130_fd_sc_hd__o31a_1 _1662_ (.A1(_0817_), + .A2(_0961_), + .A3(_0969_), + .B1(_0564_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0970_)); - sky130_fd_sc_hd__or3_2 _1663_ (.A(_0757_), - .B(_0798_), - .C(_0952_), + sky130_fd_sc_hd__nor4_1 _1663_ (.A(_0945_), + .B(_0956_), + .C(_0958_), + .D(_0970_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0971_)); - sky130_fd_sc_hd__or4b_1 _1664_ (.A(_0596_), - .B(_0885_), - .C(_0964_), - .D_N(_0950_), + .Y(_0971_)); + sky130_fd_sc_hd__nand4_4 _1664_ (.A(_0758_), + .B(_0883_), + .C(_0927_), + .D(net3), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0972_)); - sky130_fd_sc_hd__and2b_1 _1665_ (.A_N(_0972_), - .B(_0961_), + .Y(_0972_)); + sky130_fd_sc_hd__nor2_1 _1665_ (.A(net12), + .B(_0842_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0973_)); - sky130_fd_sc_hd__nand2b_2 _1666_ (.A_N(_0972_), - .B(_0961_), + .Y(_0973_)); + sky130_fd_sc_hd__or2_1 _1666_ (.A(_0898_), + .B(_0973_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0974_)); - sky130_fd_sc_hd__and2b_1 _1667_ (.A_N(_0971_), - .B(_0961_), + .X(_0974_)); + sky130_fd_sc_hd__a21o_1 _1667_ (.A1(_0664_), + .A2(_0847_), + .B1(_0945_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0975_)); - sky130_fd_sc_hd__nand2b_1 _1668_ (.A_N(_0971_), - .B(_0961_), + sky130_fd_sc_hd__and4bb_1 _1668_ (.A_N(_0973_), + .B_N(_0975_), + .C(_0758_), + .D(_0897_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0976_)); - sky130_fd_sc_hd__or3_2 _1669_ (.A(_0970_), - .B(_0971_), - .C(_0974_), + .X(_0976_)); + sky130_fd_sc_hd__and2_1 _1669_ (.A(_0972_), + .B(_0976_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0977_)); - sky130_fd_sc_hd__and2_1 _1670_ (.A(\main.demo._w_vga_active ), - .B(_0977_), + sky130_fd_sc_hd__nand2_1 _1670_ (.A(_0972_), + .B(_0976_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0978_)); - sky130_fd_sc_hd__nand2_1 _1671_ (.A(net192), - .B(_0977_), + .Y(_0978_)); + sky130_fd_sc_hd__and4bb_1 _1671_ (.A_N(_0849_), + .B_N(_0945_), + .C(_0727_), + .D(_0795_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0979_)); - sky130_fd_sc_hd__and3_1 _1672_ (.A(_0970_), - .B(_0971_), - .C(_0973_), + .X(_0979_)); + sky130_fd_sc_hd__and3_2 _1672_ (.A(_0882_), + .B(_0927_), + .C(_0979_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0980_)); - sky130_fd_sc_hd__nor2_1 _1673_ (.A(_0974_), - .B(_0980_), + sky130_fd_sc_hd__and3_1 _1673_ (.A(_0972_), + .B(_0976_), + .C(_0980_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0981_)); + .X(_0981_)); sky130_fd_sc_hd__nand2_1 _1674_ (.A(_0977_), - .B(_0981_), + .B(_0980_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0982_)); - sky130_fd_sc_hd__xor2_2 _1675_ (.A(\main.demo._q_frame[0] ), - .B(\main.demo._t___block_1_p4[0] ), + sky130_fd_sc_hd__or4b_2 _1675_ (.A(_0849_), + .B(_0956_), + .C(_0974_), + .D_N(_0796_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0983_)); - sky130_fd_sc_hd__xnor2_2 _1676_ (.A(\main.demo._q_frame[0] ), - .B(\main.demo._t___block_1_p4[0] ), + sky130_fd_sc_hd__inv_2 _1676_ (.A(_0983_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0984_)); - sky130_fd_sc_hd__xnor2_2 _1677_ (.A(\main.demo._t___block_1_p4[2] ), - .B(_0983_), + sky130_fd_sc_hd__and4_1 _1677_ (.A(_0689_), + .B(_0850_), + .C(_0926_), + .D(net3), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0985_)); - sky130_fd_sc_hd__a21o_1 _1678_ (.A1(_0977_), - .A2(_0981_), - .B1(_0985_), + .X(_0985_)); + sky130_fd_sc_hd__and2_1 _1678_ (.A(_0972_), + .B(_0985_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0986_)); - sky130_fd_sc_hd__xnor2_1 _1679_ (.A(_0982_), - .B(_0985_), + sky130_fd_sc_hd__and2_1 _1679_ (.A(_0972_), + .B(_0984_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0987_)); - sky130_fd_sc_hd__or3b_1 _1680_ (.A(_0971_), - .B(_0974_), - .C_N(_0969_), + .X(_0987_)); + sky130_fd_sc_hd__and3_1 _1680_ (.A(_0972_), + .B(_0984_), + .C(_0985_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0988_)); - sky130_fd_sc_hd__o31a_1 _1681_ (.A1(_0756_), - .A2(_0927_), - .A3(_0964_), - .B1(_0969_), + sky130_fd_sc_hd__nand2_1 _1681_ (.A(_0981_), + .B(_0988_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0989_)); - sky130_fd_sc_hd__and2_1 _1682_ (.A(_0976_), + .Y(_0989_)); + sky130_fd_sc_hd__and2_1 _1682_ (.A(\main.demo._w_vga_active ), .B(_0989_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0990_)); - sky130_fd_sc_hd__or3_1 _1683_ (.A(_0973_), - .B(_0975_), - .C(_0989_), + sky130_fd_sc_hd__nor3b_1 _1683_ (.A(_0981_), + .B(_0985_), + .C_N(_0987_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0991_)); - sky130_fd_sc_hd__or2_1 _1684_ (.A(_0973_), - .B(_0990_), + .Y(_0991_)); + sky130_fd_sc_hd__and3b_1 _1684_ (.A_N(_0991_), + .B(_0987_), + .C(_0989_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0992_)); - sky130_fd_sc_hd__and4bb_1 _1685_ (.A_N(_0685_), - .B_N(_0927_), - .C(_0988_), - .D(_0992_), + sky130_fd_sc_hd__xor2_2 _1685_ (.A(\main.demo._q_frame[0] ), + .B(\main.demo._t___block_1_p4[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0993_)); - sky130_fd_sc_hd__nand2_1 _1686_ (.A(_0984_), - .B(_0993_), + sky130_fd_sc_hd__xnor2_2 _1686_ (.A(\main.demo._q_frame[0] ), + .B(\main.demo._t___block_1_p4[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0994_)); - sky130_fd_sc_hd__a211oi_1 _1687_ (.A1(_0970_), - .A2(_0974_), - .B1(_0976_), - .C1(_0989_), + sky130_fd_sc_hd__xnor2_2 _1687_ (.A(\main.demo._t___block_1_p4[2] ), + .B(_0993_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0995_)); - sky130_fd_sc_hd__xnor2_2 _1688_ (.A(\main.demo._q_frame[0] ), - .B(\main.demo._t___block_1_p4[1] ), + sky130_fd_sc_hd__or2_1 _1688_ (.A(_0992_), + .B(_0995_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0996_)); - sky130_fd_sc_hd__or4b_1 _1689_ (.A(_0990_), - .B(_0996_), - .C(_0995_), - .D_N(_0977_), + .X(_0996_)); + sky130_fd_sc_hd__nand2_1 _1689_ (.A(_0992_), + .B(_0995_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0997_)); - sky130_fd_sc_hd__nand2b_1 _1690_ (.A_N(_0963_), - .B(_0961_), + .Y(_0997_)); + sky130_fd_sc_hd__nand2_1 _1690_ (.A(_0996_), + .B(_0997_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0998_)); - sky130_fd_sc_hd__nor2_1 _1691_ (.A(_0885_), - .B(_0998_), + sky130_fd_sc_hd__a21o_1 _1691_ (.A1(_0972_), + .A2(_0976_), + .B1(_0980_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0999_)); - sky130_fd_sc_hd__nand2_1 _1692_ (.A(_0884_), - .B(_0962_), + .X(_0999_)); + sky130_fd_sc_hd__nand2b_1 _1692_ (.A_N(_0980_), + .B(_0985_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_1000_)); - sky130_fd_sc_hd__o211a_1 _1693_ (.A1(_0965_), - .A2(_0974_), - .B1(_0988_), - .C1(_0999_), + sky130_fd_sc_hd__a21bo_1 _1693_ (.A1(_0999_), + .A2(_1000_), + .B1_N(_0987_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_1001_)); - sky130_fd_sc_hd__a21oi_1 _1694_ (.A1(\main.demo._t___block_1_p4[3] ), - .A2(_1001_), - .B1(_0997_), + sky130_fd_sc_hd__or2_1 _1694_ (.A(_0975_), + .B(_0987_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1002_)); - sky130_fd_sc_hd__nor2_1 _1695_ (.A(_0984_), - .B(_0993_), + .X(_1002_)); + sky130_fd_sc_hd__and3_1 _1695_ (.A(_0756_), + .B(_1001_), + .C(_1002_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1003_)); - sky130_fd_sc_hd__xor2_2 _1696_ (.A(\main.demo._t___block_1_p4[3] ), - .B(_0996_), + .X(_1003_)); + sky130_fd_sc_hd__xnor2_2 _1696_ (.A(\main.demo._q_frame[0] ), + .B(\main.demo._t___block_1_p4[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1004_)); - sky130_fd_sc_hd__nor2_1 _1697_ (.A(_1001_), + .Y(_1004_)); + sky130_fd_sc_hd__xor2_2 _1697_ (.A(\main.demo._t___block_1_p4[3] ), .B(_1004_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1005_)); - sky130_fd_sc_hd__a21o_1 _1698_ (.A1(_0997_), - .A2(_1004_), - .B1(_1001_), + .X(_1005_)); + sky130_fd_sc_hd__inv_2 _1698_ (.A(_1005_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1006_)); - sky130_fd_sc_hd__o21ai_1 _1699_ (.A1(\main.demo._t___block_1_p4[3] ), - .A2(_0997_), - .B1(_1006_), + .Y(_1006_)); + sky130_fd_sc_hd__and3_1 _1699_ (.A(_0983_), + .B(_0986_), + .C(_0999_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1007_)); - sky130_fd_sc_hd__o311ai_2 _1700_ (.A1(_1002_), - .A2(_1003_), - .A3(_1005_), - .B1(_0994_), - .C1(_0987_), + .X(_1007_)); + sky130_fd_sc_hd__o21a_1 _1700_ (.A1(_0984_), + .A2(_0985_), + .B1(_0972_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1008_)); - sky130_fd_sc_hd__a21oi_1 _1701_ (.A1(_0986_), - .A2(_1008_), - .B1(_0979_), + .X(_1008_)); + sky130_fd_sc_hd__inv_2 _1701_ (.A(_1008_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0000_)); - sky130_fd_sc_hd__or2_1 _1702_ (.A(_0985_), - .B(_0992_), + .Y(_1009_)); + sky130_fd_sc_hd__nor2_1 _1702_ (.A(_0977_), + .B(_1008_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1009_)); - sky130_fd_sc_hd__nand2_1 _1703_ (.A(_0985_), - .B(_0992_), + .Y(_1010_)); + sky130_fd_sc_hd__a21oi_1 _1703_ (.A1(_0978_), + .A2(_1000_), + .B1(_0983_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1010_)); - sky130_fd_sc_hd__and2_1 _1704_ (.A(_1009_), + .Y(_1011_)); + sky130_fd_sc_hd__or3_1 _1704_ (.A(_1007_), .B(_1010_), + .C(_1011_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1011_)); - sky130_fd_sc_hd__or4bb_1 _1705_ (.A(_0980_), - .B(_0998_), - .C_N(_0988_), - .D_N(_0977_), + .X(_1012_)); + sky130_fd_sc_hd__a21oi_1 _1705_ (.A1(_0981_), + .A2(_0988_), + .B1(_1004_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1012_)); - sky130_fd_sc_hd__and2_1 _1706_ (.A(_0983_), - .B(_1012_), + .Y(_1013_)); + sky130_fd_sc_hd__o211a_1 _1706_ (.A1(_0981_), + .A2(_0987_), + .B1(_0999_), + .C1(_0986_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1013_)); - sky130_fd_sc_hd__nand2b_1 _1707_ (.A_N(_0859_), - .B(_0961_), + .X(_1014_)); + sky130_fd_sc_hd__or4b_1 _1707_ (.A(_0975_), + .B(_1004_), + .C(_1014_), + .D_N(_0989_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1014_)); - sky130_fd_sc_hd__mux2_1 _1708_ (.A0(_0973_), - .A1(_0971_), - .S(_0969_), + .X(_1015_)); + sky130_fd_sc_hd__o21ba_1 _1708_ (.A1(_1005_), + .A2(_1015_), + .B1_N(_1012_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1015_)); - sky130_fd_sc_hd__nor2_1 _1709_ (.A(_1014_), - .B(_1015_), + .X(_1016_)); + sky130_fd_sc_hd__a22o_1 _1709_ (.A1(_0994_), + .A2(_1003_), + .B1(_1005_), + .B2(_1015_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1016_)); - sky130_fd_sc_hd__nor2_1 _1710_ (.A(_1004_), - .B(_1016_), + .X(_1017_)); + sky130_fd_sc_hd__o22ai_2 _1710_ (.A1(_0994_), + .A2(_1003_), + .B1(_1016_), + .B2(_1017_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1017_)); - sky130_fd_sc_hd__a31o_1 _1711_ (.A1(_0969_), - .A2(_0971_), - .A3(_0973_), - .B1(_1000_), + .Y(_1018_)); + sky130_fd_sc_hd__nand2b_1 _1711_ (.A_N(_0998_), + .B(_1018_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1018_)); - sky130_fd_sc_hd__a2111o_1 _1712_ (.A1(_0974_), - .A2(_0976_), - .B1(_1018_), - .C1(_0927_), - .D1(_0859_), + .Y(_1019_)); + sky130_fd_sc_hd__a21boi_1 _1712_ (.A1(_0996_), + .A2(_1019_), + .B1_N(_0990_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1019_)); - sky130_fd_sc_hd__and3b_1 _1713_ (.A_N(_0996_), - .B(_1019_), - .C(_0977_), + .Y(_0001_)); + sky130_fd_sc_hd__or2_1 _1713_ (.A(_0995_), + .B(_1002_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_1020_)); - sky130_fd_sc_hd__nand2_1 _1714_ (.A(_1004_), - .B(_1016_), + sky130_fd_sc_hd__nand2_1 _1714_ (.A(_0995_), + .B(_1002_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_1021_)); - sky130_fd_sc_hd__o221a_1 _1715_ (.A1(_0983_), - .A2(_1012_), - .B1(_1017_), - .B2(_1020_), - .C1(_1021_), + sky130_fd_sc_hd__and2_1 _1715_ (.A(_1020_), + .B(_1021_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_1022_)); - sky130_fd_sc_hd__o21ai_1 _1716_ (.A1(_1013_), - .A2(_1022_), - .B1(_1011_), + sky130_fd_sc_hd__a21boi_1 _1716_ (.A1(_0978_), + .A2(_0980_), + .B1_N(_0988_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_1023_)); - sky130_fd_sc_hd__a21oi_1 _1717_ (.A1(_1009_), - .A2(_1023_), - .B1(_0979_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_0001_)); - sky130_fd_sc_hd__and2b_1 _1718_ (.A_N(_1014_), - .B(_0962_), + sky130_fd_sc_hd__or4_1 _1717_ (.A(_0973_), + .B(_0991_), + .C(_1010_), + .D(_1023_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_1024_)); - sky130_fd_sc_hd__or2_1 _1719_ (.A(_0985_), + sky130_fd_sc_hd__and2_1 _1718_ (.A(_0993_), .B(_1024_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_1025_)); - sky130_fd_sc_hd__xnor2_1 _1720_ (.A(_0985_), - .B(_1024_), + sky130_fd_sc_hd__nand2_1 _1719_ (.A(_0795_), + .B(_0972_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_1026_)); - sky130_fd_sc_hd__and4bb_1 _1721_ (.A_N(_0837_), - .B_N(_1018_), - .C(_0991_), - .D(_0977_), + sky130_fd_sc_hd__nand2b_1 _1720_ (.A_N(_0987_), + .B(_0980_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1027_)); - sky130_fd_sc_hd__and2_1 _1722_ (.A(_0984_), - .B(_1027_), + .Y(_1027_)); + sky130_fd_sc_hd__a21oi_1 _1721_ (.A1(_1000_), + .A2(_1027_), + .B1(_1026_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1028_)); - sky130_fd_sc_hd__o31a_1 _1723_ (.A1(_0966_), - .A2(_0969_), - .A3(_0975_), - .B1(_0884_), + .Y(_1028_)); + sky130_fd_sc_hd__nor3b_1 _1722_ (.A(_0980_), + .B(_0985_), + .C_N(_0987_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1029_)); - sky130_fd_sc_hd__and3_1 _1724_ (.A(_0977_), - .B(_0988_), - .C(_1029_), + .Y(_1029_)); + sky130_fd_sc_hd__or4_1 _1723_ (.A(_0757_), + .B(_1007_), + .C(_1009_), + .D(_1029_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_1030_)); - sky130_fd_sc_hd__nor2_1 _1725_ (.A(_0648_), - .B(_0989_), + sky130_fd_sc_hd__a2bb2o_1 _1724_ (.A1_N(_1005_), + .A2_N(_1028_), + .B1(_1030_), + .B2(_1013_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1031_)); - sky130_fd_sc_hd__nor2_1 _1726_ (.A(_0755_), - .B(_0859_), + .X(_1031_)); + sky130_fd_sc_hd__nand2_1 _1725_ (.A(_1005_), + .B(_1028_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_1032_)); - sky130_fd_sc_hd__o211a_1 _1727_ (.A1(_0973_), - .A2(_1031_), - .B1(_1032_), - .C1(_0926_), + sky130_fd_sc_hd__o211a_1 _1726_ (.A1(_0993_), + .A2(_1024_), + .B1(_1031_), + .C1(_1032_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_1033_)); - sky130_fd_sc_hd__or3b_1 _1728_ (.A(_0996_), - .B(_1033_), - .C_N(_0977_), + sky130_fd_sc_hd__o21ai_1 _1727_ (.A1(_1025_), + .A2(_1033_), + .B1(_1022_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1034_)); - sky130_fd_sc_hd__a21o_1 _1729_ (.A1(_1004_), - .A2(_1030_), - .B1(_1034_), + .Y(_1034_)); + sky130_fd_sc_hd__a21boi_1 _1728_ (.A1(_1020_), + .A2(_1034_), + .B1_N(_0990_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1035_)); - sky130_fd_sc_hd__o221a_1 _1730_ (.A1(_0984_), - .A2(_1027_), - .B1(_1030_), - .B2(_1004_), - .C1(_1035_), + .Y(_0002_)); + sky130_fd_sc_hd__nor2_1 _1729_ (.A(_0973_), + .B(_1026_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1036_)); - sky130_fd_sc_hd__or3_1 _1731_ (.A(_1026_), - .B(_1028_), - .C(_1036_), + .Y(_1035_)); + sky130_fd_sc_hd__nor2_1 _1730_ (.A(_0995_), + .B(_1035_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_1036_)); + sky130_fd_sc_hd__and2_1 _1731_ (.A(_0995_), + .B(_1035_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_1037_)); - sky130_fd_sc_hd__a21oi_1 _1732_ (.A1(_1025_), - .A2(_1037_), - .B1(_0979_), + sky130_fd_sc_hd__nor2_1 _1732_ (.A(_1036_), + .B(_1037_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0002_)); - sky130_fd_sc_hd__a211o_1 _1733_ (.A1(_0994_), - .A2(_1007_), - .B1(_1003_), - .C1(_0987_), + .Y(_1038_)); + sky130_fd_sc_hd__a32o_1 _1733_ (.A1(_0980_), + .A2(_0983_), + .A3(_0986_), + .B1(_0999_), + .B2(_1009_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1038_)); - sky130_fd_sc_hd__and3_1 _1734_ (.A(_0978_), - .B(_1008_), - .C(_1038_), + .X(_1039_)); + sky130_fd_sc_hd__nor4b_1 _1734_ (.A(_0898_), + .B(_1039_), + .C(_1029_), + .D_N(_0989_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0003_)); - sky130_fd_sc_hd__o311a_1 _1735_ (.A1(_1011_), - .A2(_1013_), - .A3(_1022_), - .B1(_1023_), - .C1(_0978_), + .Y(_1040_)); + sky130_fd_sc_hd__nand2_1 _1735_ (.A(_0994_), + .B(net2), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0004_)); - sky130_fd_sc_hd__o21ai_1 _1736_ (.A1(_1028_), - .A2(_1036_), - .B1(_1026_), + .Y(_1041_)); + sky130_fd_sc_hd__nor2_1 _1736_ (.A(_0994_), + .B(net2), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1039_)); - sky130_fd_sc_hd__and3_1 _1737_ (.A(_0978_), - .B(_1037_), - .C(_1039_), + .Y(_1042_)); + sky130_fd_sc_hd__o2111a_1 _1737_ (.A1(_0565_), + .A2(_0784_), + .B1(_0972_), + .C1(_0777_), + .D1(_0783_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0005_)); - sky130_fd_sc_hd__and3_1 _1738_ (.A(\main.demo.vga._q_ycount[3] ), - .B(\main.demo.vga._q_ycount[2] ), - .C(\main.demo.vga._q_ycount[1] ), + .X(_1043_)); + sky130_fd_sc_hd__nand3b_1 _1738_ (.A_N(_1023_), + .B(_1043_), + .C(_0689_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1040_)); - sky130_fd_sc_hd__and2_1 _1739_ (.A(\main.demo.vga._q_ycount[0] ), - .B(_1040_), + .Y(_1044_)); + sky130_fd_sc_hd__and2_1 _1739_ (.A(_1006_), + .B(_1044_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1041_)); - sky130_fd_sc_hd__and3_1 _1740_ (.A(\main.demo.vga._q_ycount[5] ), - .B(\main.demo.vga._q_ycount[4] ), - .C(_1041_), + .X(_1045_)); + sky130_fd_sc_hd__a21o_1 _1740_ (.A1(_0982_), + .A2(_0999_), + .B1(_1008_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1042_)); - sky130_fd_sc_hd__and3_1 _1741_ (.A(\main.demo.vga._q_ycount[6] ), - .B(_0432_), - .C(_1042_), + .X(_1046_)); + sky130_fd_sc_hd__and4_1 _1741_ (.A(_0689_), + .B(_0756_), + .C(_0795_), + .D(_0897_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1043_)); - sky130_fd_sc_hd__and2_1 _1742_ (.A(\main.demo.vga._q_ycount[7] ), - .B(_1043_), + .X(_1047_)); + sky130_fd_sc_hd__nand2_1 _1742_ (.A(_1046_), + .B(_1047_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1044_)); - sky130_fd_sc_hd__nand2_1 _1743_ (.A(\main.demo.vga._q_ycount[8] ), - .B(_1044_), + .Y(_1048_)); + sky130_fd_sc_hd__a21o_1 _1743_ (.A1(_1013_), + .A2(_1048_), + .B1(_1045_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1045_)); - sky130_fd_sc_hd__nor2_1 _1744_ (.A(\main.demo.vga._d_vblank ), - .B(_1045_), + .X(_1049_)); + sky130_fd_sc_hd__or2_1 _1744_ (.A(_1006_), + .B(_1044_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1046_)); - sky130_fd_sc_hd__nor2_1 _1745_ (.A(_0433_), - .B(_0439_), + .X(_1050_)); + sky130_fd_sc_hd__and3_1 _1745_ (.A(_1013_), + .B(_1048_), + .C(_1050_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1047_)); - sky130_fd_sc_hd__a21o_1 _1746_ (.A1(\main.demo.vga._d_vblank ), + .X(_1051_)); + sky130_fd_sc_hd__o311a_1 _1746_ (.A1(_1042_), .A2(_1045_), - .B1(_1047_), + .A3(_1051_), + .B1(_1041_), + .C1(_1038_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1048_)); - sky130_fd_sc_hd__o21a_1 _1747_ (.A1(_1046_), - .A2(_1048_), - .B1(net119), + .X(_1052_)); + sky130_fd_sc_hd__o21a_1 _1747_ (.A1(_1036_), + .A2(_1052_), + .B1(_0990_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0006_)); - sky130_fd_sc_hd__and2b_1 _1748_ (.A_N(\main._w_demo_video_hs ), - .B(\main.demo._q_prev_hs ), + .X(_0003_)); + sky130_fd_sc_hd__xnor2_1 _1748_ (.A(_0998_), + .B(_1018_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1049_)); - sky130_fd_sc_hd__nand2b_4 _1749_ (.A_N(\main._w_demo_video_hs ), - .B(\main.demo._q_prev_hs ), + .Y(_1053_)); + sky130_fd_sc_hd__and2_1 _1749_ (.A(_0990_), + .B(_1053_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1050_)); - sky130_fd_sc_hd__and2_1 _1750_ (.A(\main._w_demo_video_vs ), - .B(net118), + .X(_0004_)); + sky130_fd_sc_hd__or3_1 _1750_ (.A(_1022_), + .B(_1025_), + .C(_1033_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1051_)); - sky130_fd_sc_hd__o21ai_1 _1751_ (.A1(net147), - .A2(_1049_), - .B1(net9), + .X(_1054_)); + sky130_fd_sc_hd__and3_1 _1751_ (.A(_0990_), + .B(_1034_), + .C(_1054_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1052_)); - sky130_fd_sc_hd__a21oi_1 _1752_ (.A1(net147), + .X(_0005_)); + sky130_fd_sc_hd__a311o_1 _1752_ (.A1(_1041_), .A2(_1049_), - .B1(_1052_), + .A3(_1050_), + .B1(_1042_), + .C1(_1038_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0007_)); - sky130_fd_sc_hd__a21o_1 _1753_ (.A1(\main.demo._q_v[0] ), - .A2(net11), - .B1(\main.demo._q_v[1] ), + .X(_1055_)); + sky130_fd_sc_hd__and3b_1 _1753_ (.A_N(_1052_), + .B(_1055_), + .C(_0990_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1053_)); - sky130_fd_sc_hd__and3_1 _1754_ (.A(\main.demo._q_v[1] ), - .B(\main.demo._q_v[0] ), - .C(net11), + .X(_0006_)); + sky130_fd_sc_hd__and3_1 _1754_ (.A(\main.demo.vga._q_ycount[3] ), + .B(\main.demo.vga._q_ycount[2] ), + .C(\main.demo.vga._q_ycount[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1054_)); - sky130_fd_sc_hd__and3b_1 _1755_ (.A_N(_1054_), - .B(net10), - .C(_1053_), + .X(_1056_)); + sky130_fd_sc_hd__and2_1 _1755_ (.A(net125), + .B(_1056_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0008_)); - sky130_fd_sc_hd__o21ai_1 _1756_ (.A1(\main.demo._q_v[2] ), - .A2(_1054_), - .B1(net10), + .X(_1057_)); + sky130_fd_sc_hd__and3_1 _1756_ (.A(\main.demo.vga._q_ycount[5] ), + .B(\main.demo.vga._q_ycount[4] ), + .C(_1057_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1055_)); - sky130_fd_sc_hd__a21oi_1 _1757_ (.A1(net176), - .A2(_1054_), - .B1(_1055_), + .X(_1058_)); + sky130_fd_sc_hd__and2_1 _1757_ (.A(net4), + .B(_1058_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0009_)); - sky130_fd_sc_hd__a21o_1 _1758_ (.A1(\main.demo._q_v[2] ), - .A2(_1054_), - .B1(\main.demo._q_v[3] ), + .X(_1059_)); + sky130_fd_sc_hd__nand2_1 _1758_ (.A(_0441_), + .B(_1059_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1056_)); - sky130_fd_sc_hd__and3_1 _1759_ (.A(\main.demo._q_v[3] ), - .B(\main.demo._q_v[2] ), - .C(_1054_), + .Y(_1060_)); + sky130_fd_sc_hd__and2_1 _1759_ (.A(\main.demo.vga._d_vblank ), + .B(_1060_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1057_)); - sky130_fd_sc_hd__and3b_1 _1760_ (.A_N(_1057_), - .B(net10), - .C(_1056_), + .X(_1061_)); + sky130_fd_sc_hd__nor2_1 _1760_ (.A(\main.demo.vga._d_vblank ), + .B(_1060_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0010_)); - sky130_fd_sc_hd__and2_1 _1761_ (.A(\main.demo._q_v[4] ), - .B(_1057_), + .Y(_1062_)); + sky130_fd_sc_hd__nor2_1 _1761_ (.A(_0438_), + .B(_0444_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1058_)); - sky130_fd_sc_hd__o21ai_1 _1762_ (.A1(net193), - .A2(_1057_), - .B1(net10), + .Y(_1063_)); + sky130_fd_sc_hd__o31a_1 _1762_ (.A1(_1061_), + .A2(_1062_), + .A3(_1063_), + .B1(net123), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1059_)); - sky130_fd_sc_hd__nor2_1 _1763_ (.A(_1058_), - .B(_1059_), + .X(_0007_)); + sky130_fd_sc_hd__and2b_1 _1763_ (.A_N(\main._w_demo_video_hs ), + .B(\main.demo._q_prev_hs ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0011_)); - sky130_fd_sc_hd__o21ai_1 _1764_ (.A1(\main.demo._q_v[5] ), - .A2(_1058_), - .B1(net10), + .X(_1064_)); + sky130_fd_sc_hd__nand2b_2 _1764_ (.A_N(\main._w_demo_video_hs ), + .B(\main.demo._q_prev_hs ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1060_)); - sky130_fd_sc_hd__a21oi_1 _1765_ (.A1(net162), - .A2(_1058_), - .B1(_1060_), + .Y(_1065_)); + sky130_fd_sc_hd__and2_1 _1765_ (.A(\main._w_demo_video_vs ), + .B(net121), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0012_)); - sky130_fd_sc_hd__a31o_1 _1766_ (.A1(\main.demo._q_v[5] ), - .A2(\main.demo._q_v[4] ), - .A3(_1057_), - .B1(\main.demo._q_v[6] ), + .X(_1066_)); + sky130_fd_sc_hd__o21ai_1 _1766_ (.A1(net159), + .A2(_1064_), + .B1(net9), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1061_)); - sky130_fd_sc_hd__and3_1 _1767_ (.A(\main.demo._q_v[6] ), - .B(\main.demo._q_v[5] ), - .C(_1058_), + .Y(_1067_)); + sky130_fd_sc_hd__a21oi_1 _1767_ (.A1(net159), + .A2(net11), + .B1(_1067_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0008_)); + sky130_fd_sc_hd__a21o_1 _1768_ (.A1(\main.demo._q_v[0] ), + .A2(_1064_), + .B1(\main.demo._q_v[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1062_)); - sky130_fd_sc_hd__and3b_1 _1768_ (.A_N(_1062_), - .B(net10), - .C(_1061_), + .X(_1068_)); + sky130_fd_sc_hd__and3_1 _1769_ (.A(\main.demo._q_v[1] ), + .B(\main.demo._q_v[0] ), + .C(_1064_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0013_)); - sky130_fd_sc_hd__and2_1 _1769_ (.A(\main.demo.vga._q_xcount[0] ), - .B(_0435_), + .X(_1069_)); + sky130_fd_sc_hd__and3b_1 _1770_ (.A_N(_1069_), + .B(net9), + .C(_1068_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0014_)); - sky130_fd_sc_hd__and2_1 _1770_ (.A(\main.demo.vga._q_xcount[1] ), - .B(_0435_), + .X(_0009_)); + sky130_fd_sc_hd__o21ai_1 _1771_ (.A1(\main.demo._q_v[2] ), + .A2(_1069_), + .B1(net9), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0015_)); - sky130_fd_sc_hd__and2_1 _1771_ (.A(net120), - .B(_0442_), + .Y(_1070_)); + sky130_fd_sc_hd__a21oi_1 _1772_ (.A1(net173), + .A2(_1069_), + .B1(_1070_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0016_)); - sky130_fd_sc_hd__and4b_1 _1772_ (.A_N(\main.demo.vga._q_ycount[5] ), - .B(\main.demo.vga._q_ycount[4] ), - .C(\main.demo.vga._q_ycount[7] ), - .D(\main.demo.vga._q_ycount[6] ), + .Y(_0010_)); + sky130_fd_sc_hd__a21o_1 _1773_ (.A1(\main.demo._q_v[2] ), + .A2(_1069_), + .B1(\main.demo._q_v[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1063_)); - sky130_fd_sc_hd__and4b_1 _1773_ (.A_N(\main.demo.vga._q_ycount[0] ), - .B(\main.demo.vga._d_vblank ), - .C(_1040_), - .D(\main.demo.vga._q_ycount[8] ), + .X(_1071_)); + sky130_fd_sc_hd__and3_1 _1774_ (.A(\main.demo._q_v[3] ), + .B(\main.demo._q_v[2] ), + .C(_1069_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1064_)); - sky130_fd_sc_hd__a21oi_1 _1774_ (.A1(_1063_), - .A2(_1064_), - .B1(net141), + .X(_1072_)); + sky130_fd_sc_hd__and3b_1 _1775_ (.A_N(_1072_), + .B(net9), + .C(_1071_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1065_)); - sky130_fd_sc_hd__and4b_1 _1775_ (.A_N(\main.demo.vga._q_ycount[1] ), - .B(\main.demo.vga._q_ycount[0] ), - .C(\main.demo.vga._q_ycount[3] ), - .D(\main.demo.vga._q_ycount[2] ), + .X(_0011_)); + sky130_fd_sc_hd__and2_1 _1776_ (.A(\main.demo._q_v[4] ), + .B(_1072_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1066_)); - sky130_fd_sc_hd__and4_1 _1776_ (.A(\main.demo.vga._q_ycount[8] ), - .B(\main.demo.vga._d_vblank ), - .C(_1063_), - .D(_1066_), + .X(_1073_)); + sky130_fd_sc_hd__o21ai_1 _1777_ (.A1(net193), + .A2(_1072_), + .B1(net9), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1067_)); - sky130_fd_sc_hd__nor2_1 _1777_ (.A(_1065_), - .B(_1067_), + .Y(_1074_)); + sky130_fd_sc_hd__nor2_1 _1778_ (.A(_1073_), + .B(_1074_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0017_)); - sky130_fd_sc_hd__nand2_1 _1778_ (.A(\main.demo.vga._q_xcount[1] ), - .B(\main.demo.vga._q_xcount[0] ), + .Y(_0012_)); + sky130_fd_sc_hd__o21ai_1 _1779_ (.A1(\main.demo._q_v[5] ), + .A2(_1073_), + .B1(net9), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1068_)); - sky130_fd_sc_hd__nand3_1 _1779_ (.A(\main.demo.vga._q_xcount[1] ), - .B(\main.demo.vga._q_xcount[0] ), - .C(\main.demo.vga._q_xcount[2] ), + .Y(_1075_)); + sky130_fd_sc_hd__a21oi_1 _1780_ (.A1(net168), + .A2(_1073_), + .B1(_1075_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1069_)); - sky130_fd_sc_hd__and4_2 _1780_ (.A(\main.demo.vga._q_xcount[1] ), - .B(\main.demo.vga._q_xcount[0] ), - .C(\main.demo.vga._q_xcount[3] ), - .D(\main.demo.vga._q_xcount[2] ), + .Y(_0013_)); + sky130_fd_sc_hd__a31o_1 _1781_ (.A1(\main.demo._q_v[5] ), + .A2(\main.demo._q_v[4] ), + .A3(_1072_), + .B1(\main.demo._q_v[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1070_)); - sky130_fd_sc_hd__and3_1 _1781_ (.A(\main.demo.vga._q_xcount[9] ), - .B(\main.demo.vga._q_xcount[8] ), - .C(\main.demo.vga._q_xcount[10] ), + .X(_1076_)); + sky130_fd_sc_hd__and3_1 _1782_ (.A(\main.demo._q_v[6] ), + .B(\main.demo._q_v[5] ), + .C(_1073_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1071_)); - sky130_fd_sc_hd__and4bb_1 _1782_ (.A_N(\main.demo.vga._q_xcount[5] ), - .B_N(\main.demo.vga._q_xcount[4] ), - .C(\main.demo.vga._q_xcount[6] ), - .D(\main.demo.vga._q_xcount[7] ), + .X(_1077_)); + sky130_fd_sc_hd__and3b_1 _1783_ (.A_N(_1077_), + .B(net9), + .C(_1076_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1072_)); - sky130_fd_sc_hd__a31o_1 _1783_ (.A1(_1070_), - .A2(_1071_), - .A3(_1072_), - .B1(\main._w_demo_video_hs ), + .X(_0014_)); + sky130_fd_sc_hd__and2_1 _1784_ (.A(\main.demo.vga._q_xcount[0] ), + .B(_0440_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1073_)); - sky130_fd_sc_hd__and3_1 _1784_ (.A(\main.demo.vga._q_xcount[5] ), - .B(\main.demo.vga._q_xcount[4] ), - .C(\main.demo.vga._q_xcount[6] ), + .X(_0015_)); + sky130_fd_sc_hd__and2_1 _1785_ (.A(net187), + .B(_0440_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1074_)); - sky130_fd_sc_hd__nand2_1 _1785_ (.A(_1071_), - .B(_1074_), + .X(_0016_)); + sky130_fd_sc_hd__and2_1 _1786_ (.A(net123), + .B(_0447_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1075_)); - sky130_fd_sc_hd__o31a_1 _1786_ (.A1(\main.demo.vga._q_xcount[7] ), - .A2(_0430_), - .A3(_1075_), - .B1(_1073_), + .X(_0017_)); + sky130_fd_sc_hd__and4b_1 _1787_ (.A_N(\main.demo.vga._q_ycount[5] ), + .B(\main.demo.vga._q_ycount[4] ), + .C(\main.demo.vga._q_ycount[7] ), + .D(\main.demo.vga._q_ycount[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0018_)); - sky130_fd_sc_hd__and2_1 _1787_ (.A(net119), - .B(_0435_), + .X(_1078_)); + sky130_fd_sc_hd__and4b_1 _1788_ (.A_N(net125), + .B(\main.demo.vga._d_vblank ), + .C(_1078_), + .D(\main.demo.vga._q_ycount[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0019_)); - sky130_fd_sc_hd__nor2_1 _1788_ (.A(net171), - .B(net94), + .X(_1079_)); + sky130_fd_sc_hd__a21oi_1 _1789_ (.A1(_1056_), + .A2(_1079_), + .B1(\main._w_demo_video_vs ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0020_)); - sky130_fd_sc_hd__and3_1 _1789_ (.A(\main.demo.vga._q_xcount[5] ), - .B(\main.demo.vga._q_xcount[4] ), - .C(_1070_), + .Y(_1080_)); + sky130_fd_sc_hd__and4b_1 _1790_ (.A_N(\main.demo.vga._q_ycount[1] ), + .B(\main.demo.vga._d_vblank ), + .C(_1078_), + .D(\main.demo.vga._q_ycount[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1076_)); - sky130_fd_sc_hd__a21oi_1 _1790_ (.A1(\main.demo.vga._q_xcount[4] ), - .A2(_1070_), - .B1(\main.demo.vga._q_xcount[5] ), + .X(_1081_)); + sky130_fd_sc_hd__a41o_1 _1791_ (.A1(\main.demo.vga._q_ycount[3] ), + .A2(\main.demo.vga._q_ycount[2] ), + .A3(net125), + .A4(_1081_), + .B1(_1080_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1077_)); - sky130_fd_sc_hd__or2_1 _1791_ (.A(_1076_), - .B(_1077_), + .X(_1082_)); + sky130_fd_sc_hd__inv_2 _1792_ (.A(_1082_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1078_)); - sky130_fd_sc_hd__a21oi_1 _1792_ (.A1(_0433_), - .A2(_1078_), - .B1(net94), + .Y(_0018_)); + sky130_fd_sc_hd__nand2_1 _1793_ (.A(\main.demo.vga._q_xcount[0] ), + .B(\main.demo.vga._q_xcount[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0021_)); - sky130_fd_sc_hd__nor2_1 _1793_ (.A(\main.demo.vga._q_xcount[6] ), - .B(_1076_), + .Y(_1083_)); + sky130_fd_sc_hd__nand3_1 _1794_ (.A(\main.demo.vga._q_xcount[0] ), + .B(\main.demo.vga._q_xcount[1] ), + .C(\main.demo.vga._q_xcount[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1079_)); - sky130_fd_sc_hd__and2_1 _1794_ (.A(\main.demo.vga._q_xcount[6] ), - .B(_1076_), + .Y(_1084_)); + sky130_fd_sc_hd__and4_1 _1795_ (.A(\main.demo.vga._q_xcount[0] ), + .B(\main.demo.vga._q_xcount[1] ), + .C(\main.demo.vga._q_xcount[2] ), + .D(\main.demo.vga._q_xcount[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1080_)); - sky130_fd_sc_hd__or2_1 _1795_ (.A(_1079_), - .B(_1080_), + .X(_1085_)); + sky130_fd_sc_hd__and3_1 _1796_ (.A(\main.demo.vga._q_xcount[8] ), + .B(\main.demo.vga._q_xcount[9] ), + .C(\main.demo.vga._q_xcount[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1081_)); - sky130_fd_sc_hd__a21oi_1 _1796_ (.A1(_0433_), - .A2(_1081_), - .B1(net94), + .X(_1086_)); + sky130_fd_sc_hd__and4bb_1 _1797_ (.A_N(\main.demo.vga._q_xcount[4] ), + .B_N(\main.demo.vga._q_xcount[5] ), + .C(\main.demo.vga._q_xcount[6] ), + .D(\main.demo.vga._q_xcount[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0022_)); - sky130_fd_sc_hd__nand3_1 _1797_ (.A(\main.demo.vga._q_xcount[6] ), - .B(\main.demo.vga._q_xcount[7] ), - .C(_1076_), + .X(_1087_)); + sky130_fd_sc_hd__a31o_1 _1798_ (.A1(_1085_), + .A2(_1086_), + .A3(_1087_), + .B1(\main._w_demo_video_hs ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1082_)); - sky130_fd_sc_hd__and2b_1 _1798_ (.A_N(\main.demo.vga._q_xcount[8] ), - .B(_1082_), + .X(_1088_)); + sky130_fd_sc_hd__and4b_1 _1799_ (.A_N(\main.demo.vga._q_xcount[7] ), + .B(\main.demo.vga._q_xcount[6] ), + .C(\main.demo.vga._q_xcount[5] ), + .D(\main.demo.vga._q_xcount[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1083_)); - sky130_fd_sc_hd__and4_1 _1799_ (.A(\main.demo.vga._q_xcount[7] ), - .B(\main.demo.vga._q_xcount[8] ), - .C(_1070_), - .D(_1074_), + .X(_1089_)); + sky130_fd_sc_hd__nand2_1 _1800_ (.A(_1086_), + .B(_1089_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1084_)); - sky130_fd_sc_hd__or2_1 _1800_ (.A(_1083_), - .B(_1084_), + .Y(_1090_)); + sky130_fd_sc_hd__o21a_1 _1801_ (.A1(_0434_), + .A2(_1090_), + .B1(_1088_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1085_)); - sky130_fd_sc_hd__a21oi_1 _1801_ (.A1(_0433_), - .A2(_1085_), - .B1(net94), + .X(_0019_)); + sky130_fd_sc_hd__and2_1 _1802_ (.A(net124), + .B(_0440_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0023_)); - sky130_fd_sc_hd__or2_1 _1802_ (.A(\main.demo.vga._q_xcount[9] ), - .B(_1084_), + .X(_0020_)); + sky130_fd_sc_hd__nor2_1 _1803_ (.A(net177), + .B(net100), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1086_)); - sky130_fd_sc_hd__nand2_1 _1803_ (.A(\main.demo.vga._q_xcount[9] ), - .B(_1084_), + .Y(_0021_)); + sky130_fd_sc_hd__nand2_1 _1804_ (.A(\main.demo.vga._q_xcount[4] ), + .B(_1085_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1087_)); - sky130_fd_sc_hd__and3_1 _1804_ (.A(net119), - .B(_1086_), - .C(_1087_), + .Y(_1091_)); + sky130_fd_sc_hd__xnor2_1 _1805_ (.A(\main.demo.vga._q_xcount[5] ), + .B(_1091_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0024_)); - sky130_fd_sc_hd__and2_1 _1805_ (.A(\main.demo.vga._q_xcount[10] ), - .B(_1087_), + .Y(_1092_)); + sky130_fd_sc_hd__o21a_1 _1806_ (.A1(net4), + .A2(_1092_), + .B1(net122), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_1088_)); - sky130_fd_sc_hd__nor2_1 _1806_ (.A(\main.demo.vga._q_xcount[10] ), - .B(_1087_), + .X(_0022_)); + sky130_fd_sc_hd__a31oi_1 _1807_ (.A1(\main.demo.vga._q_xcount[4] ), + .A2(\main.demo.vga._q_xcount[5] ), + .A3(_1085_), + .B1(\main.demo.vga._q_xcount[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1089_)); - sky130_fd_sc_hd__o31a_1 _1807_ (.A1(_0432_), - .A2(_1088_), - .A3(_1089_), - .B1(net119), + .Y(_1093_)); + sky130_fd_sc_hd__and4_1 _1808_ (.A(\main.demo.vga._q_xcount[4] ), + .B(\main.demo.vga._q_xcount[5] ), + .C(\main.demo.vga._q_xcount[6] ), + .D(_1085_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0025_)); - sky130_fd_sc_hd__nand2_1 _1808_ (.A(_0432_), - .B(_0439_), + .X(_1094_)); + sky130_fd_sc_hd__and4_1 _1809_ (.A(\main.demo.vga._q_xcount[4] ), + .B(\main.demo.vga._q_xcount[5] ), + .C(\main.demo.vga._q_xcount[6] ), + .D(_1085_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1090_)); - sky130_fd_sc_hd__inv_2 _1809_ (.A(_1090_), + .X(_1095_)); + sky130_fd_sc_hd__nor2_1 _1810_ (.A(_1093_), + .B(_1094_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1091_)); - sky130_fd_sc_hd__nand2_1 _1810_ (.A(\main.demo.vga._q_ycount[0] ), - .B(_0432_), + .Y(_1096_)); + sky130_fd_sc_hd__o21a_1 _1811_ (.A1(net4), + .A2(_1096_), + .B1(net122), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_1092_)); - sky130_fd_sc_hd__o211a_1 _1811_ (.A1(\main.demo.vga._q_ycount[0] ), - .A2(_1091_), - .B1(_1092_), - .C1(net119), + .X(_0023_)); + sky130_fd_sc_hd__nand2_1 _1812_ (.A(\main.demo.vga._q_xcount[7] ), + .B(_1094_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0026_)); - sky130_fd_sc_hd__a31o_1 _1812_ (.A1(\main.demo.vga._q_ycount[0] ), - .A2(_0428_), - .A3(net7), - .B1(\main.demo.vga._q_ycount[1] ), + .Y(_1097_)); + sky130_fd_sc_hd__xnor2_1 _1813_ (.A(\main.demo.vga._q_xcount[8] ), + .B(_1097_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_1098_)); + sky130_fd_sc_hd__o21a_1 _1814_ (.A1(net4), + .A2(_1098_), + .B1(net122), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0130_)); - sky130_fd_sc_hd__nand3_1 _1813_ (.A(\main.demo.vga._q_ycount[1] ), - .B(\main.demo.vga._q_ycount[0] ), - .C(_0432_), + .X(_0024_)); + sky130_fd_sc_hd__a31o_1 _1815_ (.A1(\main.demo.vga._q_xcount[7] ), + .A2(\main.demo.vga._q_xcount[8] ), + .A3(_1094_), + .B1(\main.demo.vga._q_xcount[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0131_)); - sky130_fd_sc_hd__and3_1 _1814_ (.A(net119), - .B(_0130_), - .C(_0131_), + .X(_1099_)); + sky130_fd_sc_hd__nand4_1 _1816_ (.A(\main.demo.vga._q_xcount[7] ), + .B(\main.demo.vga._q_xcount[8] ), + .C(\main.demo.vga._q_xcount[9] ), + .D(_1095_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0027_)); - sky130_fd_sc_hd__and4_1 _1815_ (.A(\main.demo.vga._q_ycount[2] ), - .B(\main.demo.vga._q_ycount[1] ), - .C(\main.demo.vga._q_ycount[0] ), - .D(_0432_), + .Y(_1100_)); + sky130_fd_sc_hd__and3_1 _1817_ (.A(net122), + .B(_1099_), + .C(_1100_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0132_)); - sky130_fd_sc_hd__xnor2_1 _1816_ (.A(\main.demo.vga._q_ycount[2] ), - .B(_0131_), + .X(_0025_)); + sky130_fd_sc_hd__and2_1 _1818_ (.A(\main.demo.vga._q_xcount[10] ), + .B(_1100_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0133_)); - sky130_fd_sc_hd__o21a_1 _1817_ (.A1(_1047_), - .A2(_0133_), - .B1(net119), + .X(_0131_)); + sky130_fd_sc_hd__nor2_1 _1819_ (.A(\main.demo.vga._q_xcount[10] ), + .B(_1100_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0028_)); - sky130_fd_sc_hd__nor2_1 _1818_ (.A(net94), - .B(_0432_), + .Y(_0132_)); + sky130_fd_sc_hd__o31a_1 _1820_ (.A1(net4), + .A2(_0131_), + .A3(_0132_), + .B1(net122), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0026_)); + sky130_fd_sc_hd__and2_1 _1821_ (.A(net4), + .B(_0444_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0133_)); + sky130_fd_sc_hd__nand2_1 _1822_ (.A(net125), + .B(net4), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0134_)); - sky130_fd_sc_hd__nand2_1 _1819_ (.A(_0432_), - .B(_1041_), + sky130_fd_sc_hd__o211a_1 _1823_ (.A1(net125), + .A2(_0133_), + .B1(_0134_), + .C1(net123), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0135_)); - sky130_fd_sc_hd__o211a_1 _1820_ (.A1(net177), - .A2(_0132_), - .B1(_0135_), - .C1(net120), + .X(_0027_)); + sky130_fd_sc_hd__a21o_1 _1824_ (.A1(net125), + .A2(net4), + .B1(\main.demo.vga._q_ycount[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0029_)); - sky130_fd_sc_hd__xor2_1 _1821_ (.A(\main.demo.vga._q_ycount[4] ), - .B(_1041_), + .X(_0135_)); + sky130_fd_sc_hd__nand3_1 _1825_ (.A(\main.demo.vga._q_ycount[1] ), + .B(net125), + .C(net5), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0136_)); - sky130_fd_sc_hd__or2_1 _1822_ (.A(\main.demo.vga._q_ycount[4] ), - .B(_0432_), + .Y(_0136_)); + sky130_fd_sc_hd__and3_1 _1826_ (.A(net123), + .B(_0135_), + .C(_0136_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0137_)); - sky130_fd_sc_hd__o211a_1 _1823_ (.A1(_1090_), - .A2(_0136_), - .B1(_0137_), - .C1(net120), + .X(_0028_)); + sky130_fd_sc_hd__xnor2_1 _1827_ (.A(\main.demo.vga._q_ycount[2] ), + .B(_0136_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0030_)); - sky130_fd_sc_hd__a21oi_1 _1824_ (.A1(\main.demo.vga._q_ycount[4] ), - .A2(_1041_), - .B1(\main.demo.vga._q_ycount[5] ), + .Y(_0137_)); + sky130_fd_sc_hd__o21a_1 _1828_ (.A1(_1063_), + .A2(_0137_), + .B1(net123), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0138_)); - sky130_fd_sc_hd__or4_1 _1825_ (.A(net94), - .B(_1042_), - .C(_1090_), - .D(_0138_), + .X(_0029_)); + sky130_fd_sc_hd__a41o_1 _1829_ (.A1(\main.demo.vga._q_ycount[2] ), + .A2(\main.demo.vga._q_ycount[1] ), + .A3(net125), + .A4(net5), + .B1(\main.demo.vga._q_ycount[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0139_)); - sky130_fd_sc_hd__a21bo_1 _1826_ (.A1(net172), - .A2(_0134_), - .B1_N(_0139_), + .X(_0138_)); + sky130_fd_sc_hd__nor2_1 _1830_ (.A(net100), + .B(net4), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0031_)); - sky130_fd_sc_hd__a31o_1 _1827_ (.A1(_0428_), - .A2(net7), - .A3(_1042_), - .B1(\main.demo.vga._q_ycount[6] ), + .Y(_0139_)); + sky130_fd_sc_hd__nand2_1 _1831_ (.A(net5), + .B(_1057_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0140_)); - sky130_fd_sc_hd__and3b_1 _1828_ (.A_N(_1043_), - .B(_0140_), - .C(net119), + .Y(_0140_)); + sky130_fd_sc_hd__and3_1 _1832_ (.A(net123), + .B(_0138_), + .C(_0140_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0032_)); - sky130_fd_sc_hd__o21ai_1 _1829_ (.A1(net191), - .A2(_1043_), - .B1(net119), + .X(_0030_)); + sky130_fd_sc_hd__xnor2_1 _1833_ (.A(\main.demo.vga._q_ycount[4] ), + .B(_1057_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0141_)); - sky130_fd_sc_hd__nor2_1 _1830_ (.A(_1044_), + sky130_fd_sc_hd__nand2_1 _1834_ (.A(_0133_), .B(_0141_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0033_)); - sky130_fd_sc_hd__or2_1 _1831_ (.A(\main.demo.vga._q_ycount[8] ), - .B(_1044_), + .Y(_0142_)); + sky130_fd_sc_hd__o211a_1 _1835_ (.A1(\main.demo.vga._q_ycount[4] ), + .A2(net5), + .B1(_0142_), + .C1(net123), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0142_)); - sky130_fd_sc_hd__and3_1 _1832_ (.A(net119), - .B(_1045_), - .C(_0142_), + .X(_0031_)); + sky130_fd_sc_hd__a31o_1 _1836_ (.A1(\main.demo.vga._q_ycount[4] ), + .A2(net125), + .A3(_1056_), + .B1(\main.demo.vga._q_ycount[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0034_)); - sky130_fd_sc_hd__o21ai_1 _1833_ (.A1(net142), - .A2(_1062_), - .B1(net10), + .X(_0143_)); + sky130_fd_sc_hd__and3b_1 _1837_ (.A_N(_1058_), + .B(_0143_), + .C(net123), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0143_)); - sky130_fd_sc_hd__a21oi_1 _1834_ (.A1(net142), - .A2(_1062_), - .B1(_0143_), + .X(_0144_)); + sky130_fd_sc_hd__a22o_1 _1838_ (.A1(\main.demo.vga._q_ycount[5] ), + .A2(_0139_), + .B1(_0144_), + .B2(_0133_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0035_)); - sky130_fd_sc_hd__or2_1 _1835_ (.A(\main.demo.zic._q_clock_count[1] ), - .B(\main.demo.zic._q_clock_count[0] ), + .X(_0032_)); + sky130_fd_sc_hd__and3_1 _1839_ (.A(\main.demo.vga._q_ycount[6] ), + .B(net5), + .C(_1058_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0144_)); - sky130_fd_sc_hd__or4_1 _1836_ (.A(\main.demo.zic._q_clock_count[7] ), - .B(\main.demo.zic._q_clock_count[6] ), - .C(\main.demo.zic._q_clock_count[8] ), - .D(_0144_), + .X(_0145_)); + sky130_fd_sc_hd__o21ai_1 _1840_ (.A1(net183), + .A2(_1059_), + .B1(net123), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0145_)); - sky130_fd_sc_hd__nor2_1 _1837_ (.A(_0443_), - .B(_0145_), + .Y(_0146_)); + sky130_fd_sc_hd__nor2_1 _1841_ (.A(_0145_), + .B(_0146_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0146_)); - sky130_fd_sc_hd__inv_2 _1838_ (.A(net4), + .Y(_0033_)); + sky130_fd_sc_hd__o21ai_1 _1842_ (.A1(\main.demo.vga._q_ycount[7] ), + .A2(_0145_), + .B1(net123), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0147_)); - sky130_fd_sc_hd__or4_2 _1839_ (.A(\main.demo.zic._q_idx[3] ), - .B(\main.demo.zic._q_idx[2] ), - .C(_0416_), - .D(\main.demo.zic._q_idx[0] ), + sky130_fd_sc_hd__a21oi_1 _1843_ (.A1(net169), + .A2(_0145_), + .B1(_0147_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0034_)); + sky130_fd_sc_hd__a21o_1 _1844_ (.A1(\main.demo.vga._q_ycount[7] ), + .A2(_0145_), + .B1(\main.demo.vga._q_ycount[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0148_)); - sky130_fd_sc_hd__xnor2_1 _1840_ (.A(\main.demo.zic._q_idx[1] ), - .B(\main.demo.zic._q_idx[0] ), + sky130_fd_sc_hd__and3_1 _1845_ (.A(net124), + .B(_1060_), + .C(_0148_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0035_)); + sky130_fd_sc_hd__o21ai_1 _1846_ (.A1(net149), + .A2(_1077_), + .B1(_1066_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0149_)); - sky130_fd_sc_hd__o21a_1 _1841_ (.A1(_0416_), - .A2(\main.demo.zic._q_idx[4] ), - .B1(\main.demo.zic._q_idx[2] ), + sky130_fd_sc_hd__a21oi_1 _1847_ (.A1(net149), + .A2(_1077_), + .B1(_0149_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0150_)); - sky130_fd_sc_hd__o21ai_1 _1842_ (.A1(\main.demo.zic._q_idx[2] ), - .A2(_0149_), - .B1(\main.demo.zic._q_idx[3] ), + .Y(_0036_)); + sky130_fd_sc_hd__or2_1 _1848_ (.A(\main.demo.zic._q_clock_count[1] ), + .B(\main.demo.zic._q_clock_count[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0151_)); - sky130_fd_sc_hd__o21ai_2 _1843_ (.A1(_0150_), - .A2(_0151_), - .B1(_0148_), + .X(_0150_)); + sky130_fd_sc_hd__or4_1 _1849_ (.A(\main.demo.zic._q_clock_count[7] ), + .B(\main.demo.zic._q_clock_count[6] ), + .C(\main.demo.zic._q_clock_count[8] ), + .D(_0150_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0152_)); - sky130_fd_sc_hd__a21o_1 _1844_ (.A1(net4), - .A2(_0152_), - .B1(net183), + .X(_0151_)); + sky130_fd_sc_hd__nor2_1 _1850_ (.A(_0448_), + .B(_0151_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0153_)); - sky130_fd_sc_hd__nand2_1 _1845_ (.A(\main.demo.zic._q_qpos[0] ), - .B(_0152_), + .Y(_0152_)); + sky130_fd_sc_hd__inv_2 _1851_ (.A(net8), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0154_)); - sky130_fd_sc_hd__o211a_1 _1846_ (.A1(_0147_), - .A2(_0154_), - .B1(_0153_), - .C1(net115), + .Y(_0153_)); + sky130_fd_sc_hd__nand2b_1 _1852_ (.A_N(\main.demo.zic._q_idx[0] ), + .B(\main.demo.zic._q_idx[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0036_)); - sky130_fd_sc_hd__nand3_2 _1847_ (.A(\main.demo.zic._q_idx[3] ), - .B(\main.demo.zic._q_idx[2] ), - .C(\main.demo.zic._q_idx[4] ), + .Y(_0154_)); + sky130_fd_sc_hd__nand2b_1 _1853_ (.A_N(\main.demo.zic._q_idx[1] ), + .B(\main.demo.zic._q_idx[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0155_)); - sky130_fd_sc_hd__and3_1 _1848_ (.A(\main.demo.zic._q_qpos[1] ), - .B(_0148_), + sky130_fd_sc_hd__and4b_1 _1854_ (.A_N(\main.demo.zic._q_idx[2] ), + .B(_0154_), .C(_0155_), + .D(\main.demo.zic._q_idx[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0156_)); - sky130_fd_sc_hd__a21oi_1 _1849_ (.A1(_0148_), - .A2(_0155_), - .B1(\main.demo.zic._q_qpos[1] ), + sky130_fd_sc_hd__and4b_1 _1855_ (.A_N(\main.demo.zic._q_idx[4] ), + .B(\main.demo.zic._q_idx[1] ), + .C(\main.demo.zic._q_idx[2] ), + .D(\main.demo.zic._q_idx[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0157_)); - sky130_fd_sc_hd__or2_1 _1850_ (.A(_0156_), - .B(_0157_), + .X(_0157_)); + sky130_fd_sc_hd__nor3_1 _1856_ (.A(\main.demo.zic._q_idx[3] ), + .B(\main.demo.zic._q_idx[2] ), + .C(_0154_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0158_)); - sky130_fd_sc_hd__nor2_1 _1851_ (.A(_0154_), - .B(_0158_), + .Y(_0158_)); + sky130_fd_sc_hd__or3_1 _1857_ (.A(_0156_), + .B(_0157_), + .C(_0158_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0159_)); - sky130_fd_sc_hd__and2_1 _1852_ (.A(_0154_), - .B(_0158_), + .X(_0159_)); + sky130_fd_sc_hd__a21o_1 _1858_ (.A1(_0152_), + .A2(_0159_), + .B1(net181), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0160_)); - sky130_fd_sc_hd__o21ai_1 _1853_ (.A1(_0159_), - .A2(_0160_), - .B1(net4), + sky130_fd_sc_hd__nand2_1 _1859_ (.A(\main.demo.zic._q_qpos[0] ), + .B(_0159_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0161_)); - sky130_fd_sc_hd__o211a_1 _1854_ (.A1(net168), - .A2(net4), - .B1(_0161_), - .C1(net117), + sky130_fd_sc_hd__o211a_1 _1860_ (.A1(_0153_), + .A2(_0161_), + .B1(_0160_), + .C1(net119), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0037_)); - sky130_fd_sc_hd__and2_1 _1855_ (.A(\main.demo.zic._q_qpos[2] ), - .B(_0152_), + sky130_fd_sc_hd__a31oi_2 _1861_ (.A1(\main.demo.zic._q_idx[3] ), + .A2(\main.demo.zic._q_idx[2] ), + .A3(\main.demo.zic._q_idx[4] ), + .B1(_0158_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0162_)); - sky130_fd_sc_hd__nor2_1 _1856_ (.A(\main.demo.zic._q_qpos[2] ), - .B(_0152_), + .Y(_0162_)); + sky130_fd_sc_hd__and2_1 _1862_ (.A(\main.demo.zic._q_qpos[1] ), + .B(_0162_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0163_)); - sky130_fd_sc_hd__nor2_1 _1857_ (.A(_0162_), - .B(_0163_), + .X(_0163_)); + sky130_fd_sc_hd__nor2_1 _1863_ (.A(\main.demo.zic._q_qpos[1] ), + .B(_0162_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0164_)); - sky130_fd_sc_hd__o21a_1 _1858_ (.A1(_0156_), - .A2(_0159_), - .B1(_0164_), + sky130_fd_sc_hd__nor3_1 _1864_ (.A(_0161_), + .B(_0163_), + .C(_0164_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0165_)); - sky130_fd_sc_hd__nor3_1 _1859_ (.A(_0156_), - .B(_0159_), - .C(_0164_), + .Y(_0165_)); + sky130_fd_sc_hd__o21a_1 _1865_ (.A1(_0163_), + .A2(_0164_), + .B1(_0161_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0166_)); - sky130_fd_sc_hd__o21ai_1 _1860_ (.A1(_0165_), + .X(_0166_)); + sky130_fd_sc_hd__o21ai_1 _1866_ (.A1(_0165_), .A2(_0166_), - .B1(net4), + .B1(_0152_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0167_)); - sky130_fd_sc_hd__o211a_1 _1861_ (.A1(net167), - .A2(net4), + sky130_fd_sc_hd__o211a_1 _1867_ (.A1(net164), + .A2(_0152_), .B1(_0167_), - .C1(net115), + .C1(net119), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0038_)); - sky130_fd_sc_hd__or4bb_1 _1862_ (.A(\main.demo.zic._q_idx[3] ), - .B(\main.demo.zic._q_idx[1] ), - .C_N(\main.demo.zic._q_idx[0] ), - .D_N(\main.demo.zic._q_idx[2] ), + sky130_fd_sc_hd__and2_1 _1868_ (.A(\main.demo.zic._q_qpos[2] ), + .B(_0159_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0168_)); - sky130_fd_sc_hd__nand2_1 _1863_ (.A(_0148_), - .B(_0168_), + sky130_fd_sc_hd__nor2_1 _1869_ (.A(\main.demo.zic._q_qpos[2] ), + .B(_0159_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0169_)); - sky130_fd_sc_hd__and4_1 _1864_ (.A(\main.demo.zic._q_qpos[3] ), - .B(_0148_), - .C(_0155_), - .D(_0168_), + sky130_fd_sc_hd__nor2_1 _1870_ (.A(_0168_), + .B(_0169_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0170_)); - sky130_fd_sc_hd__a31o_1 _1865_ (.A1(_0148_), - .A2(_0155_), - .A3(_0168_), - .B1(\main.demo.zic._q_qpos[3] ), + .Y(_0170_)); + sky130_fd_sc_hd__o21a_1 _1871_ (.A1(_0163_), + .A2(_0165_), + .B1(_0170_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0171_)); - sky130_fd_sc_hd__and2b_1 _1866_ (.A_N(_0170_), - .B(_0171_), + sky130_fd_sc_hd__nor3_1 _1872_ (.A(_0163_), + .B(_0165_), + .C(_0170_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0172_)); - sky130_fd_sc_hd__o21a_1 _1867_ (.A1(_0162_), - .A2(_0165_), - .B1(_0172_), + .Y(_0172_)); + sky130_fd_sc_hd__nor2_1 _1873_ (.A(_0171_), + .B(_0172_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0173_)); - sky130_fd_sc_hd__nor3_1 _1868_ (.A(_0162_), - .B(_0165_), - .C(_0172_), + .Y(_0173_)); + sky130_fd_sc_hd__or2_1 _1874_ (.A(\main.demo.zic._q_qpos[2] ), + .B(net8), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0174_)); - sky130_fd_sc_hd__o21ai_1 _1869_ (.A1(_0173_), - .A2(_0174_), - .B1(_0146_), + .X(_0174_)); + sky130_fd_sc_hd__o211a_1 _1875_ (.A1(_0153_), + .A2(_0173_), + .B1(_0174_), + .C1(net119), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0175_)); - sky130_fd_sc_hd__o211a_1 _1870_ (.A1(net160), - .A2(net4), - .B1(_0175_), - .C1(net115), + .X(_0039_)); + sky130_fd_sc_hd__and4bb_1 _1876_ (.A_N(\main.demo.zic._q_idx[3] ), + .B_N(\main.demo.zic._q_idx[1] ), + .C(\main.demo.zic._q_idx[0] ), + .D(\main.demo.zic._q_idx[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0039_)); - sky130_fd_sc_hd__and2_1 _1871_ (.A(\main.demo.zic._q_qpos[4] ), - .B(_0169_), + .X(_0175_)); + sky130_fd_sc_hd__or2_1 _1877_ (.A(_0158_), + .B(_0175_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0176_)); - sky130_fd_sc_hd__nor2_1 _1872_ (.A(\main.demo.zic._q_qpos[4] ), - .B(_0169_), + sky130_fd_sc_hd__nand2b_1 _1878_ (.A_N(_0175_), + .B(_0162_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0177_)); - sky130_fd_sc_hd__nor2_1 _1873_ (.A(_0176_), + sky130_fd_sc_hd__nor2_1 _1879_ (.A(_0428_), .B(_0177_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0178_)); - sky130_fd_sc_hd__o21a_1 _1874_ (.A1(_0170_), - .A2(_0173_), - .B1(_0178_), + sky130_fd_sc_hd__and2_1 _1880_ (.A(_0428_), + .B(_0177_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0179_)); - sky130_fd_sc_hd__nor3_1 _1875_ (.A(_0170_), - .B(_0173_), - .C(_0178_), + sky130_fd_sc_hd__nor2_1 _1881_ (.A(_0178_), + .B(_0179_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0180_)); - sky130_fd_sc_hd__o21ai_1 _1876_ (.A1(_0179_), - .A2(_0180_), - .B1(_0146_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_0181_)); - sky130_fd_sc_hd__o211a_1 _1877_ (.A1(net158), - .A2(_0146_), - .B1(_0181_), - .C1(net115), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(_0040_)); - sky130_fd_sc_hd__o21a_1 _1878_ (.A1(_0176_), - .A2(_0179_), - .B1(_0146_), + sky130_fd_sc_hd__o21a_1 _1882_ (.A1(_0168_), + .A2(_0171_), + .B1(_0180_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0182_)); - sky130_fd_sc_hd__and2_1 _1879_ (.A(\main.demo.zic._q_qpos[5] ), - .B(_0182_), + .X(_0181_)); + sky130_fd_sc_hd__nor3_1 _1883_ (.A(_0168_), + .B(_0171_), + .C(_0180_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0183_)); - sky130_fd_sc_hd__o21ai_1 _1880_ (.A1(net151), + .Y(_0182_)); + sky130_fd_sc_hd__o21ai_1 _1884_ (.A1(_0181_), .A2(_0182_), - .B1(net116), + .B1(_0152_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0184_)); - sky130_fd_sc_hd__nor2_1 _1881_ (.A(_0183_), - .B(_0184_), + .Y(_0183_)); + sky130_fd_sc_hd__o211a_1 _1885_ (.A1(net171), + .A2(net8), + .B1(_0183_), + .C1(net119), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0041_)); - sky130_fd_sc_hd__and3_1 _1882_ (.A(\main.demo.zic._q_qpos[6] ), - .B(\main.demo.zic._q_qpos[5] ), - .C(_0182_), + .X(_0040_)); + sky130_fd_sc_hd__and2_1 _1886_ (.A(\main.demo.zic._q_qpos[4] ), + .B(_0176_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0185_)); - sky130_fd_sc_hd__o21ai_1 _1883_ (.A1(net149), - .A2(_0183_), - .B1(net116), + .X(_0184_)); + sky130_fd_sc_hd__nor2_1 _1887_ (.A(\main.demo.zic._q_qpos[4] ), + .B(_0176_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0186_)); - sky130_fd_sc_hd__nor2_1 _1884_ (.A(_0185_), - .B(_0186_), + .Y(_0185_)); + sky130_fd_sc_hd__nor2_1 _1888_ (.A(_0184_), + .B(_0185_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0042_)); - sky130_fd_sc_hd__and3_1 _1885_ (.A(\main.demo.zic._q_qpos[7] ), - .B(\main.demo.zic._q_qpos[6] ), - .C(_0183_), + .Y(_0186_)); + sky130_fd_sc_hd__o21a_1 _1889_ (.A1(_0178_), + .A2(_0181_), + .B1(_0186_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0187_)); - sky130_fd_sc_hd__o21ai_1 _1886_ (.A1(net143), - .A2(_0185_), - .B1(net116), + sky130_fd_sc_hd__nor3_1 _1890_ (.A(_0178_), + .B(_0181_), + .C(_0186_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0188_)); - sky130_fd_sc_hd__nor2_1 _1887_ (.A(_0187_), - .B(_0188_), + sky130_fd_sc_hd__o21ai_1 _1891_ (.A1(_0187_), + .A2(_0188_), + .B1(net8), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0043_)); - sky130_fd_sc_hd__and2_1 _1888_ (.A(\main.demo.zic._q_qpos[8] ), - .B(_0187_), + .Y(_0189_)); + sky130_fd_sc_hd__o211a_1 _1892_ (.A1(net172), + .A2(net8), + .B1(_0189_), + .C1(net119), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0189_)); - sky130_fd_sc_hd__o21ai_1 _1889_ (.A1(net152), + .X(_0041_)); + sky130_fd_sc_hd__o21a_1 _1893_ (.A1(_0184_), .A2(_0187_), - .B1(net116), + .B1(net8), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0190_)); - sky130_fd_sc_hd__nor2_1 _1890_ (.A(_0189_), + .X(_0190_)); + sky130_fd_sc_hd__and2_1 _1894_ (.A(\main.demo.zic._q_qpos[5] ), .B(_0190_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0044_)); - sky130_fd_sc_hd__and3_1 _1891_ (.A(\main.demo.zic._q_qpos[9] ), - .B(\main.demo.zic._q_qpos[8] ), - .C(_0187_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), .X(_0191_)); - sky130_fd_sc_hd__o21ai_1 _1892_ (.A1(net145), - .A2(_0189_), - .B1(net116), + sky130_fd_sc_hd__o21ai_1 _1895_ (.A1(net174), + .A2(_0190_), + .B1(net120), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0192_)); - sky130_fd_sc_hd__nor2_1 _1893_ (.A(_0191_), + sky130_fd_sc_hd__nor2_1 _1896_ (.A(_0191_), .B(_0192_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0045_)); - sky130_fd_sc_hd__and2_1 _1894_ (.A(\main.demo.zic._q_qpos[10] ), - .B(_0191_), + .Y(_0042_)); + sky130_fd_sc_hd__a21oi_1 _1897_ (.A1(net166), + .A2(_0191_), + .B1(net100), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0193_)); - sky130_fd_sc_hd__o21ai_1 _1895_ (.A1(net155), + .Y(_0193_)); + sky130_fd_sc_hd__o21a_1 _1898_ (.A1(net166), .A2(_0191_), - .B1(net116), + .B1(_0193_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0194_)); - sky130_fd_sc_hd__nor2_1 _1896_ (.A(_0193_), - .B(_0194_), + .X(_0043_)); + sky130_fd_sc_hd__a31o_1 _1899_ (.A1(\main.demo.zic._q_qpos[6] ), + .A2(\main.demo.zic._q_qpos[5] ), + .A3(_0190_), + .B1(net191), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0046_)); - sky130_fd_sc_hd__and3_1 _1897_ (.A(\main.demo.zic._q_qpos[11] ), - .B(\main.demo.zic._q_qpos[10] ), + .X(_0194_)); + sky130_fd_sc_hd__and3_1 _1900_ (.A(\main.demo.zic._q_qpos[7] ), + .B(\main.demo.zic._q_qpos[6] ), .C(_0191_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0195_)); - sky130_fd_sc_hd__o21ai_1 _1898_ (.A1(net144), - .A2(_0193_), - .B1(net116), + sky130_fd_sc_hd__and3b_1 _1901_ (.A_N(_0195_), + .B(net118), + .C(_0194_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0196_)); - sky130_fd_sc_hd__nor2_1 _1899_ (.A(_0195_), - .B(_0196_), + .X(_0044_)); + sky130_fd_sc_hd__and2_1 _1902_ (.A(\main.demo.zic._q_qpos[8] ), + .B(_0195_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0047_)); - sky130_fd_sc_hd__o21ai_1 _1900_ (.A1(net139), + .X(_0196_)); + sky130_fd_sc_hd__o21ai_1 _1903_ (.A1(net157), .A2(_0195_), - .B1(net116), + .B1(net118), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0197_)); - sky130_fd_sc_hd__a21oi_1 _1901_ (.A1(net139), - .A2(_0195_), - .B1(_0197_), + sky130_fd_sc_hd__nor2_1 _1904_ (.A(_0196_), + .B(_0197_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0048_)); - sky130_fd_sc_hd__nand2_1 _1902_ (.A(\main.demo.zic._q_rythm_count[12] ), - .B(\main.demo.zic._q_rythm_count[11] ), + .Y(_0045_)); + sky130_fd_sc_hd__and3_1 _1905_ (.A(\main.demo.zic._q_qpos[9] ), + .B(\main.demo.zic._q_qpos[8] ), + .C(_0195_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0198_)); - sky130_fd_sc_hd__or4b_4 _1903_ (.A(\main.demo.zic._q_rythm_count[10] ), - .B(_0198_), - .C(\main.demo.zic._q_rythm_count[9] ), - .D_N(\main.demo.zic._q_rythm_count[8] ), + .X(_0198_)); + sky130_fd_sc_hd__o21ai_1 _1906_ (.A1(net150), + .A2(_0196_), + .B1(net120), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0199_)); - sky130_fd_sc_hd__inv_2 _1904_ (.A(_0199_), + .Y(_0199_)); + sky130_fd_sc_hd__nor2_1 _1907_ (.A(_0198_), + .B(_0199_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0046_)); + sky130_fd_sc_hd__o21ai_1 _1908_ (.A1(net145), + .A2(_0198_), + .B1(net121), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0200_)); - sky130_fd_sc_hd__nor2_1 _1905_ (.A(net94), - .B(_0200_), + sky130_fd_sc_hd__a21oi_1 _1909_ (.A1(net145), + .A2(_0198_), + .B1(_0200_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0201_)); - sky130_fd_sc_hd__and2_1 _1906_ (.A(\main.demo.zic._q_rythm_count[0] ), - .B(net4), + .Y(_0047_)); + sky130_fd_sc_hd__a21o_1 _1910_ (.A1(\main.demo.zic._q_qpos[10] ), + .A2(_0198_), + .B1(net185), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0202_)); - sky130_fd_sc_hd__o21ai_1 _1907_ (.A1(net185), - .A2(net4), - .B1(net2), + .X(_0201_)); + sky130_fd_sc_hd__and3_1 _1911_ (.A(\main.demo.zic._q_qpos[11] ), + .B(\main.demo.zic._q_qpos[10] ), + .C(_0198_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0203_)); - sky130_fd_sc_hd__nor2_1 _1908_ (.A(_0202_), - .B(_0203_), + .X(_0202_)); + sky130_fd_sc_hd__and3b_1 _1912_ (.A_N(_0202_), + .B(net124), + .C(_0201_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0049_)); - sky130_fd_sc_hd__a21boi_1 _1909_ (.A1(\main.demo.zic._q_rythm_count[1] ), + .X(_0048_)); + sky130_fd_sc_hd__o21ai_1 _1913_ (.A1(net148), .A2(_0202_), - .B1_N(net2), + .B1(net120), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0204_)); - sky130_fd_sc_hd__o21a_1 _1910_ (.A1(net178), + .Y(_0203_)); + sky130_fd_sc_hd__a21oi_1 _1914_ (.A1(net148), .A2(_0202_), - .B1(_0204_), + .B1(_0203_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0050_)); - sky130_fd_sc_hd__a31o_1 _1911_ (.A1(\main.demo.zic._q_rythm_count[1] ), - .A2(\main.demo.zic._q_rythm_count[0] ), - .A3(net4), - .B1(\main.demo.zic._q_rythm_count[2] ), + .Y(_0049_)); + sky130_fd_sc_hd__nand2_1 _1915_ (.A(net184), + .B(net8), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0205_)); - sky130_fd_sc_hd__and3_1 _1912_ (.A(\main.demo.zic._q_rythm_count[2] ), - .B(\main.demo.zic._q_rythm_count[1] ), - .C(_0202_), + .Y(_0204_)); + sky130_fd_sc_hd__nand2_1 _1916_ (.A(\main.demo.zic._q_rythm_count[12] ), + .B(\main.demo.zic._q_rythm_count[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0206_)); - sky130_fd_sc_hd__and3b_1 _1913_ (.A_N(_0206_), - .B(net2), - .C(_0205_), + .Y(_0205_)); + sky130_fd_sc_hd__or4b_2 _1917_ (.A(\main.demo.zic._q_rythm_count[10] ), + .B(_0205_), + .C(\main.demo.zic._q_rythm_count[9] ), + .D_N(\main.demo.zic._q_rythm_count[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0051_)); - sky130_fd_sc_hd__and2_1 _1914_ (.A(\main.demo.zic._q_rythm_count[3] ), + .X(_0206_)); + sky130_fd_sc_hd__and2_1 _1918_ (.A(net119), .B(_0206_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0207_)); - sky130_fd_sc_hd__o21ai_1 _1915_ (.A1(net179), - .A2(_0206_), - .B1(net2), + sky130_fd_sc_hd__o211a_1 _1919_ (.A1(net184), + .A2(net8), + .B1(_0204_), + .C1(net6), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0208_)); - sky130_fd_sc_hd__nor2_1 _1916_ (.A(_0207_), - .B(_0208_), + .X(_0050_)); + sky130_fd_sc_hd__a21o_1 _1920_ (.A1(\main.demo.zic._q_rythm_count[0] ), + .A2(net8), + .B1(\main.demo.zic._q_rythm_count[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0052_)); - sky130_fd_sc_hd__and3_1 _1917_ (.A(\main.demo.zic._q_rythm_count[4] ), - .B(\main.demo.zic._q_rythm_count[3] ), - .C(_0206_), + .X(_0208_)); + sky130_fd_sc_hd__and3_1 _1921_ (.A(\main.demo.zic._q_rythm_count[1] ), + .B(\main.demo.zic._q_rythm_count[0] ), + .C(net8), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0209_)); - sky130_fd_sc_hd__o21ai_1 _1918_ (.A1(net156), - .A2(_0207_), - .B1(net2), + sky130_fd_sc_hd__and3b_1 _1922_ (.A_N(_0209_), + .B(net6), + .C(_0208_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0210_)); - sky130_fd_sc_hd__nor2_1 _1919_ (.A(_0209_), - .B(_0210_), + .X(_0051_)); + sky130_fd_sc_hd__and2_1 _1923_ (.A(\main.demo.zic._q_rythm_count[2] ), + .B(_0209_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0053_)); - sky130_fd_sc_hd__and2_1 _1920_ (.A(\main.demo.zic._q_rythm_count[5] ), - .B(_0209_), + .X(_0210_)); + sky130_fd_sc_hd__o21ai_1 _1924_ (.A1(net180), + .A2(_0209_), + .B1(net6), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0211_)); - sky130_fd_sc_hd__o21ai_1 _1921_ (.A1(net174), - .A2(_0209_), - .B1(net2), + .Y(_0211_)); + sky130_fd_sc_hd__nor2_1 _1925_ (.A(_0210_), + .B(_0211_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0052_)); + sky130_fd_sc_hd__o21ai_1 _1926_ (.A1(net156), + .A2(_0210_), + .B1(net6), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0212_)); - sky130_fd_sc_hd__nor2_1 _1922_ (.A(_0211_), - .B(_0212_), + sky130_fd_sc_hd__a21oi_1 _1927_ (.A1(net156), + .A2(_0210_), + .B1(_0212_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0054_)); - sky130_fd_sc_hd__and3_1 _1923_ (.A(\main.demo.zic._q_rythm_count[6] ), - .B(\main.demo.zic._q_rythm_count[5] ), - .C(_0209_), + .Y(_0053_)); + sky130_fd_sc_hd__a31o_1 _1928_ (.A1(\main.demo.zic._q_rythm_count[3] ), + .A2(\main.demo.zic._q_rythm_count[2] ), + .A3(_0209_), + .B1(\main.demo.zic._q_rythm_count[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0213_)); - sky130_fd_sc_hd__o21ai_1 _1924_ (.A1(net166), - .A2(_0211_), - .B1(net2), + sky130_fd_sc_hd__and3_1 _1929_ (.A(\main.demo.zic._q_rythm_count[4] ), + .B(\main.demo.zic._q_rythm_count[3] ), + .C(_0210_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0214_)); - sky130_fd_sc_hd__nor2_1 _1925_ (.A(_0213_), - .B(_0214_), + .X(_0214_)); + sky130_fd_sc_hd__and3b_1 _1930_ (.A_N(_0214_), + .B(net6), + .C(_0213_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0055_)); - sky130_fd_sc_hd__o21ai_1 _1926_ (.A1(net146), - .A2(_0213_), - .B1(net2), + .X(_0054_)); + sky130_fd_sc_hd__o21ai_1 _1931_ (.A1(net155), + .A2(_0214_), + .B1(net6), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0215_)); - sky130_fd_sc_hd__a21oi_1 _1927_ (.A1(net146), - .A2(_0213_), + sky130_fd_sc_hd__a21oi_1 _1932_ (.A1(net155), + .A2(_0214_), .B1(_0215_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0056_)); - sky130_fd_sc_hd__a21o_1 _1928_ (.A1(\main.demo.zic._q_rythm_count[7] ), - .A2(_0213_), - .B1(\main.demo.zic._q_rythm_count[8] ), + .Y(_0055_)); + sky130_fd_sc_hd__a21o_1 _1933_ (.A1(\main.demo.zic._q_rythm_count[5] ), + .A2(_0214_), + .B1(\main.demo.zic._q_rythm_count[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0216_)); - sky130_fd_sc_hd__and3_1 _1929_ (.A(\main.demo.zic._q_rythm_count[8] ), - .B(\main.demo.zic._q_rythm_count[7] ), - .C(_0213_), + sky130_fd_sc_hd__and3_1 _1934_ (.A(\main.demo.zic._q_rythm_count[6] ), + .B(\main.demo.zic._q_rythm_count[5] ), + .C(_0214_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0217_)); - sky130_fd_sc_hd__and3b_1 _1930_ (.A_N(_0217_), - .B(net2), + sky130_fd_sc_hd__and3b_1 _1935_ (.A_N(_0217_), + .B(net6), .C(_0216_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0057_)); - sky130_fd_sc_hd__and2_1 _1931_ (.A(\main.demo.zic._q_rythm_count[9] ), - .B(_0217_), + .X(_0056_)); + sky130_fd_sc_hd__o21ai_1 _1936_ (.A1(net154), + .A2(_0217_), + .B1(net6), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0218_)); - sky130_fd_sc_hd__o21ai_1 _1932_ (.A1(net189), + .Y(_0218_)); + sky130_fd_sc_hd__a21oi_1 _1937_ (.A1(net154), .A2(_0217_), - .B1(net2), + .B1(_0218_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0219_)); - sky130_fd_sc_hd__nor2_1 _1933_ (.A(_0218_), - .B(_0219_), + .Y(_0057_)); + sky130_fd_sc_hd__a21o_1 _1938_ (.A1(\main.demo.zic._q_rythm_count[7] ), + .A2(_0217_), + .B1(\main.demo.zic._q_rythm_count[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0058_)); - sky130_fd_sc_hd__o21ai_1 _1934_ (.A1(net148), - .A2(_0218_), - .B1(net3), + .X(_0219_)); + sky130_fd_sc_hd__and3_1 _1939_ (.A(\main.demo.zic._q_rythm_count[8] ), + .B(\main.demo.zic._q_rythm_count[7] ), + .C(_0217_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0220_)); - sky130_fd_sc_hd__a21oi_1 _1935_ (.A1(net148), - .A2(_0218_), - .B1(_0220_), + .X(_0220_)); + sky130_fd_sc_hd__and3b_1 _1940_ (.A_N(_0220_), + .B(net7), + .C(_0219_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0059_)); - sky130_fd_sc_hd__a31o_1 _1936_ (.A1(\main.demo.zic._q_rythm_count[10] ), - .A2(\main.demo.zic._q_rythm_count[9] ), - .A3(_0217_), - .B1(\main.demo.zic._q_rythm_count[11] ), + .X(_0058_)); + sky130_fd_sc_hd__and2_1 _1941_ (.A(\main.demo.zic._q_rythm_count[9] ), + .B(_0220_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0221_)); - sky130_fd_sc_hd__and3_1 _1937_ (.A(\main.demo.zic._q_rythm_count[11] ), - .B(\main.demo.zic._q_rythm_count[10] ), - .C(_0218_), + sky130_fd_sc_hd__o21ai_1 _1942_ (.A1(net179), + .A2(_0220_), + .B1(net6), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0222_)); - sky130_fd_sc_hd__and3b_1 _1938_ (.A_N(_0222_), - .B(net3), - .C(_0221_), + .Y(_0222_)); + sky130_fd_sc_hd__nor2_1 _1943_ (.A(_0221_), + .B(_0222_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0060_)); - sky130_fd_sc_hd__o21ai_1 _1939_ (.A1(net138), - .A2(_0222_), - .B1(net3), + .Y(_0059_)); + sky130_fd_sc_hd__o21ai_1 _1944_ (.A1(net153), + .A2(_0221_), + .B1(net6), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0223_)); - sky130_fd_sc_hd__a21oi_1 _1940_ (.A1(net138), - .A2(_0222_), + sky130_fd_sc_hd__a21oi_1 _1945_ (.A1(net153), + .A2(_0221_), .B1(_0223_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0061_)); - sky130_fd_sc_hd__nand2_1 _1941_ (.A(\main.demo.zic._q_idx[0] ), - .B(_0200_), + .Y(_0060_)); + sky130_fd_sc_hd__a31o_1 _1946_ (.A1(\main.demo.zic._q_rythm_count[10] ), + .A2(\main.demo.zic._q_rythm_count[9] ), + .A3(_0220_), + .B1(\main.demo.zic._q_rythm_count[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0224_)); - sky130_fd_sc_hd__or2_1 _1942_ (.A(\main.demo.zic._q_idx[0] ), - .B(_0200_), + .X(_0224_)); + sky130_fd_sc_hd__and3_1 _1947_ (.A(\main.demo.zic._q_rythm_count[11] ), + .B(\main.demo.zic._q_rythm_count[10] ), + .C(_0221_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0225_)); - sky130_fd_sc_hd__and3_1 _1943_ (.A(net115), - .B(_0224_), - .C(_0225_), + sky130_fd_sc_hd__and3b_1 _1948_ (.A_N(_0225_), + .B(net7), + .C(_0224_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0062_)); - sky130_fd_sc_hd__nor2_1 _1944_ (.A(_0416_), - .B(_0224_), + .X(_0061_)); + sky130_fd_sc_hd__o21ai_1 _1949_ (.A1(net141), + .A2(_0225_), + .B1(net7), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0226_)); - sky130_fd_sc_hd__nand2_1 _1945_ (.A(_0416_), - .B(_0224_), + sky130_fd_sc_hd__a21oi_1 _1950_ (.A1(net141), + .A2(_0225_), + .B1(_0226_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0227_)); - sky130_fd_sc_hd__and3b_1 _1946_ (.A_N(_0226_), - .B(_0227_), - .C(net115), + .Y(_0062_)); + sky130_fd_sc_hd__nor2_1 _1951_ (.A(_0429_), + .B(_0206_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0063_)); - sky130_fd_sc_hd__and2_1 _1947_ (.A(\main.demo.zic._q_idx[2] ), - .B(_0226_), + .Y(_0227_)); + sky130_fd_sc_hd__and2_1 _1952_ (.A(_0429_), + .B(_0206_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0228_)); - sky130_fd_sc_hd__nor2_1 _1948_ (.A(\main.demo.zic._q_idx[2] ), - .B(_0226_), + sky130_fd_sc_hd__nor2_1 _1953_ (.A(_0227_), + .B(_0228_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0229_)); - sky130_fd_sc_hd__nor2_1 _1949_ (.A(_0228_), + sky130_fd_sc_hd__and2_1 _1954_ (.A(net119), .B(_0229_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0230_)); - sky130_fd_sc_hd__and2_1 _1950_ (.A(net118), - .B(_0230_), + .X(_0063_)); + sky130_fd_sc_hd__nand2_1 _1955_ (.A(\main.demo.zic._q_idx[1] ), + .B(_0227_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0064_)); - sky130_fd_sc_hd__o21ai_1 _1951_ (.A1(\main.demo.zic._q_idx[3] ), - .A2(_0228_), - .B1(net117), + .Y(_0230_)); + sky130_fd_sc_hd__or2_1 _1956_ (.A(\main.demo.zic._q_idx[1] ), + .B(_0227_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0231_)); - sky130_fd_sc_hd__a21oi_1 _1952_ (.A1(net153), - .A2(_0228_), - .B1(_0231_), + .X(_0231_)); + sky130_fd_sc_hd__and3_1 _1957_ (.A(net119), + .B(_0230_), + .C(_0231_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0065_)); - sky130_fd_sc_hd__a31o_1 _1953_ (.A1(\main.demo.zic._q_idx[3] ), - .A2(\main.demo.zic._q_idx[2] ), - .A3(_0226_), - .B1(\main.demo.zic._q_idx[4] ), + .X(_0064_)); + sky130_fd_sc_hd__and3_1 _1958_ (.A(\main.demo.zic._q_idx[2] ), + .B(\main.demo.zic._q_idx[1] ), + .C(_0227_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0232_)); - sky130_fd_sc_hd__o311a_1 _1954_ (.A1(_0416_), - .A2(_0155_), - .A3(_0224_), - .B1(_0232_), - .C1(net115), + sky130_fd_sc_hd__inv_2 _1959_ (.A(_0232_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0066_)); - sky130_fd_sc_hd__and2_1 _1955_ (.A(\main.demo.vga._q_ycount[0] ), - .B(_0442_), + .Y(_0233_)); + sky130_fd_sc_hd__a21o_1 _1960_ (.A1(\main.demo.zic._q_idx[1] ), + .A2(_0227_), + .B1(\main.demo.zic._q_idx[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0067_)); - sky130_fd_sc_hd__and2_1 _1956_ (.A(net195), - .B(_0442_), + .X(_0234_)); + sky130_fd_sc_hd__and3_1 _1961_ (.A(net119), + .B(_0233_), + .C(_0234_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0068_)); - sky130_fd_sc_hd__a31oi_2 _1957_ (.A1(\main.demo.zic._q_idx[3] ), - .A2(\main.demo.zic._q_idx[4] ), - .A3(_0230_), - .B1(_0199_), + .X(_0065_)); + sky130_fd_sc_hd__or2_1 _1962_ (.A(\main.demo.zic._q_idx[3] ), + .B(_0232_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0233_)); - sky130_fd_sc_hd__and2_1 _1958_ (.A(net117), - .B(_0233_), + .X(_0235_)); + sky130_fd_sc_hd__and2_1 _1963_ (.A(\main.demo.zic._q_idx[3] ), + .B(_0232_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0234_)); - sky130_fd_sc_hd__or4_1 _1959_ (.A(\main.demo.zic._q_rythm_count[3] ), - .B(\main.demo.zic._q_rythm_count[2] ), - .C(\main.demo.zic._q_rythm_count[1] ), - .D(\main.demo.zic._q_rythm_count[0] ), + .X(_0236_)); + sky130_fd_sc_hd__and3b_1 _1964_ (.A_N(_0236_), + .B(net119), + .C(_0235_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0235_)); - sky130_fd_sc_hd__or4_1 _1960_ (.A(\main.demo.zic._q_rythm_count[7] ), - .B(\main.demo.zic._q_rythm_count[6] ), - .C(\main.demo.zic._q_rythm_count[5] ), - .D(\main.demo.zic._q_rythm_count[4] ), + .X(_0066_)); + sky130_fd_sc_hd__a31o_1 _1965_ (.A1(\main.demo.zic._q_idx[3] ), + .A2(\main.demo.zic._q_idx[4] ), + .A3(_0232_), + .B1(net100), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0236_)); - sky130_fd_sc_hd__or3_1 _1961_ (.A(_0147_), - .B(_0235_), - .C(_0236_), + .X(_0237_)); + sky130_fd_sc_hd__o21ba_1 _1966_ (.A1(net192), + .A2(_0236_), + .B1_N(_0237_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0237_)); - sky130_fd_sc_hd__or4_1 _1962_ (.A(\main.demo.zic._q_squ_env[3] ), - .B(\main.demo.zic._q_squ_env[2] ), - .C(\main.demo.zic._q_squ_env[1] ), - .D(\main.demo.zic._q_squ_env[0] ), + .X(_0067_)); + sky130_fd_sc_hd__and2_1 _1967_ (.A(net146), + .B(_0447_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0238_)); - sky130_fd_sc_hd__or2_1 _1963_ (.A(\main.demo.zic._q_squ_env[4] ), - .B(_0238_), + .X(_0068_)); + sky130_fd_sc_hd__and2_1 _1968_ (.A(net194), + .B(_0447_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0239_)); - sky130_fd_sc_hd__o21ai_2 _1964_ (.A1(\main.demo.zic._q_squ_env[5] ), - .A2(_0239_), - .B1(_0199_), + .X(_0069_)); + sky130_fd_sc_hd__and3b_1 _1969_ (.A_N(_0229_), + .B(_0230_), + .C(_0231_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0240_)); - sky130_fd_sc_hd__o21bai_1 _1965_ (.A1(_0237_), - .A2(_0240_), - .B1_N(\main.demo.zic._q_squ_env[0] ), + .X(_0238_)); + sky130_fd_sc_hd__or2_1 _1970_ (.A(\main.demo.zic._q_idx[4] ), + .B(_0232_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0241_)); - sky130_fd_sc_hd__nand2_1 _1966_ (.A(_0199_), - .B(_0237_), + .X(_0239_)); + sky130_fd_sc_hd__o2111a_1 _1971_ (.A1(_0233_), + .A2(_0238_), + .B1(_0239_), + .C1(_0234_), + .D1(\main.demo.zic._q_idx[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0242_)); - sky130_fd_sc_hd__nand2_1 _1967_ (.A(\main.demo.zic._q_squ_env[0] ), - .B(_0242_), + .X(_0240_)); + sky130_fd_sc_hd__nor2_1 _1972_ (.A(_0206_), + .B(_0240_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0243_)); - sky130_fd_sc_hd__a31o_1 _1968_ (.A1(net115), - .A2(_0241_), - .A3(_0243_), - .B1(_0234_), + .Y(_0241_)); + sky130_fd_sc_hd__and2_1 _1973_ (.A(net118), + .B(_0241_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0069_)); - sky130_fd_sc_hd__xor2_1 _1969_ (.A(\main.demo.zic._q_squ_env[1] ), - .B(\main.demo.zic._q_squ_env[0] ), + .X(_0242_)); + sky130_fd_sc_hd__or4_1 _1974_ (.A(\main.demo.zic._q_rythm_count[3] ), + .B(\main.demo.zic._q_rythm_count[2] ), + .C(\main.demo.zic._q_rythm_count[1] ), + .D(\main.demo.zic._q_rythm_count[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0244_)); - sky130_fd_sc_hd__o21ai_1 _1970_ (.A1(_0240_), - .A2(_0244_), - .B1(_0242_), + .X(_0243_)); + sky130_fd_sc_hd__or4_1 _1975_ (.A(\main.demo.zic._q_rythm_count[7] ), + .B(\main.demo.zic._q_rythm_count[6] ), + .C(\main.demo.zic._q_rythm_count[5] ), + .D(\main.demo.zic._q_rythm_count[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0245_)); - sky130_fd_sc_hd__o221a_1 _1971_ (.A1(\main.demo.zic._q_squ_env[1] ), - .A2(_0242_), - .B1(_0245_), - .B2(_0233_), - .C1(net115), + .X(_0244_)); + sky130_fd_sc_hd__or3_1 _1976_ (.A(_0153_), + .B(_0243_), + .C(_0244_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0070_)); - sky130_fd_sc_hd__or3_1 _1972_ (.A(\main.demo.zic._q_squ_env[1] ), + .X(_0245_)); + sky130_fd_sc_hd__or2_1 _1977_ (.A(\main.demo.zic._q_squ_env[1] ), .B(\main.demo.zic._q_squ_env[0] ), - .C(_0237_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0246_)); - sky130_fd_sc_hd__or2_1 _1973_ (.A(\main.demo.zic._q_squ_env[2] ), + sky130_fd_sc_hd__or2_1 _1978_ (.A(\main.demo.zic._q_squ_env[2] ), .B(_0246_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0247_)); - sky130_fd_sc_hd__nor2_1 _1974_ (.A(_0240_), - .B(_0247_), + sky130_fd_sc_hd__inv_2 _1979_ (.A(_0247_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0248_)); - sky130_fd_sc_hd__and3_1 _1975_ (.A(\main.demo.zic._q_squ_env[2] ), - .B(net3), - .C(_0246_), + sky130_fd_sc_hd__or3_1 _1980_ (.A(\main.demo.zic._q_squ_env[4] ), + .B(\main.demo.zic._q_squ_env[3] ), + .C(_0247_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0249_)); - sky130_fd_sc_hd__a211o_1 _1976_ (.A1(net118), - .A2(_0248_), - .B1(_0249_), - .C1(_0234_), + sky130_fd_sc_hd__o21ai_1 _1981_ (.A1(\main.demo.zic._q_squ_env[5] ), + .A2(_0249_), + .B1(_0206_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0071_)); - sky130_fd_sc_hd__and3_1 _1977_ (.A(\main.demo.zic._q_squ_env[3] ), - .B(_0199_), - .C(_0247_), + .Y(_0250_)); + sky130_fd_sc_hd__nor2_1 _1982_ (.A(_0245_), + .B(_0250_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0250_)); - sky130_fd_sc_hd__and2b_1 _1978_ (.A_N(\main.demo.zic._q_squ_env[3] ), - .B(_0248_), + .Y(_0251_)); + sky130_fd_sc_hd__and2_2 _1983_ (.A(_0206_), + .B(_0245_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0251_)); - sky130_fd_sc_hd__o31a_1 _1979_ (.A1(_0233_), - .A2(_0250_), - .A3(_0251_), - .B1(net118), + .X(_0252_)); + sky130_fd_sc_hd__mux2_1 _1984_ (.A0(_0251_), + .A1(_0252_), + .S(\main.demo.zic._q_squ_env[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0072_)); - sky130_fd_sc_hd__nand2_1 _1980_ (.A(\main.demo.zic._q_squ_env[4] ), - .B(_0238_), + .X(_0253_)); + sky130_fd_sc_hd__o21a_1 _1985_ (.A1(_0241_), + .A2(_0253_), + .B1(net118), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0252_)); - sky130_fd_sc_hd__a21o_1 _1981_ (.A1(_0239_), - .A2(_0252_), - .B1(_0240_), + .X(_0070_)); + sky130_fd_sc_hd__nand2_1 _1986_ (.A(\main.demo.zic._q_squ_env[1] ), + .B(\main.demo.zic._q_squ_env[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0253_)); - sky130_fd_sc_hd__nand2_1 _1982_ (.A(_0242_), - .B(_0253_), + .Y(_0254_)); + sky130_fd_sc_hd__a21oi_1 _1987_ (.A1(_0246_), + .A2(_0254_), + .B1(_0250_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0254_)); - sky130_fd_sc_hd__o221a_1 _1983_ (.A1(\main.demo.zic._q_squ_env[4] ), - .A2(_0242_), - .B1(_0254_), - .B2(_0233_), - .C1(net115), + .Y(_0255_)); + sky130_fd_sc_hd__nand2b_1 _1988_ (.A_N(\main.demo.zic._q_squ_env[1] ), + .B(_0252_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0073_)); - sky130_fd_sc_hd__or2_1 _1984_ (.A(_0237_), - .B(_0239_), + .Y(_0256_)); + sky130_fd_sc_hd__o311a_1 _1989_ (.A1(_0241_), + .A2(_0252_), + .A3(_0255_), + .B1(_0256_), + .C1(net118), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0255_)); - sky130_fd_sc_hd__a31o_1 _1985_ (.A1(\main.demo.zic._q_squ_env[5] ), - .A2(net3), - .A3(_0255_), - .B1(_0234_), + .X(_0071_)); + sky130_fd_sc_hd__a21o_1 _1990_ (.A1(_0246_), + .A2(_0251_), + .B1(_0252_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0074_)); - sky130_fd_sc_hd__nor2_1 _1986_ (.A(net140), - .B(net94), + .X(_0257_)); + sky130_fd_sc_hd__a22o_1 _1991_ (.A1(_0248_), + .A2(_0251_), + .B1(_0257_), + .B2(net188), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0075_)); - sky130_fd_sc_hd__and2_1 _1987_ (.A(\main.demo.zic._q_clock_count[1] ), - .B(\main.demo.zic._q_clock_count[0] ), + .X(_0258_)); + sky130_fd_sc_hd__a21o_1 _1992_ (.A1(net118), + .A2(_0258_), + .B1(_0242_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0256_)); - sky130_fd_sc_hd__and3b_1 _1988_ (.A_N(_0256_), - .B(net118), - .C(_0144_), + .X(_0072_)); + sky130_fd_sc_hd__a21o_1 _1993_ (.A1(_0248_), + .A2(_0251_), + .B1(\main.demo.zic._q_squ_env[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0076_)); - sky130_fd_sc_hd__a21oi_1 _1989_ (.A1(\main.demo.zic._q_clock_count[2] ), - .A2(_0256_), - .B1(net95), + .X(_0259_)); + sky130_fd_sc_hd__a211o_1 _1994_ (.A1(_0247_), + .A2(_0251_), + .B1(_0252_), + .C1(_0426_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0257_)); - sky130_fd_sc_hd__o21a_1 _1990_ (.A1(net190), - .A2(_0256_), - .B1(_0257_), + .X(_0260_)); + sky130_fd_sc_hd__a31o_1 _1995_ (.A1(net118), + .A2(_0259_), + .A3(_0260_), + .B1(_0242_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0077_)); - sky130_fd_sc_hd__a31o_1 _1991_ (.A1(\main.demo.zic._q_clock_count[1] ), - .A2(\main.demo.zic._q_clock_count[0] ), - .A3(\main.demo.zic._q_clock_count[2] ), - .B1(\main.demo.zic._q_clock_count[3] ), + .X(_0073_)); + sky130_fd_sc_hd__o21ai_1 _1996_ (.A1(\main.demo.zic._q_squ_env[3] ), + .A2(_0247_), + .B1(\main.demo.zic._q_squ_env[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0258_)); - sky130_fd_sc_hd__and3_1 _1992_ (.A(\main.demo.zic._q_clock_count[3] ), - .B(\main.demo.zic._q_clock_count[2] ), - .C(_0256_), + .Y(_0261_)); + sky130_fd_sc_hd__a21oi_1 _1997_ (.A1(_0249_), + .A2(_0261_), + .B1(_0250_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0259_)); - sky130_fd_sc_hd__and3b_1 _1993_ (.A_N(_0259_), - .B(net118), - .C(_0258_), + .Y(_0262_)); + sky130_fd_sc_hd__nand2b_1 _1998_ (.A_N(\main.demo.zic._q_squ_env[4] ), + .B(_0252_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0078_)); - sky130_fd_sc_hd__o21ai_1 _1994_ (.A1(\main.demo.zic._q_clock_count[4] ), - .A2(_0259_), - .B1(net117), + .Y(_0263_)); + sky130_fd_sc_hd__o311a_1 _1999_ (.A1(_0241_), + .A2(_0252_), + .A3(_0262_), + .B1(_0263_), + .C1(net118), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0260_)); - sky130_fd_sc_hd__a21oi_1 _1995_ (.A1(net159), - .A2(_0259_), - .B1(_0260_), + .X(_0074_)); + sky130_fd_sc_hd__or2_1 _2000_ (.A(_0245_), + .B(_0249_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0079_)); - sky130_fd_sc_hd__a21o_1 _1996_ (.A1(\main.demo.zic._q_clock_count[4] ), - .A2(_0259_), - .B1(\main.demo.zic._q_clock_count[5] ), + .X(_0264_)); + sky130_fd_sc_hd__a31o_1 _2001_ (.A1(\main.demo.zic._q_squ_env[5] ), + .A2(net7), + .A3(_0264_), + .B1(_0242_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0261_)); - sky130_fd_sc_hd__and3_1 _1997_ (.A(\main.demo.zic._q_clock_count[5] ), - .B(\main.demo.zic._q_clock_count[4] ), - .C(_0259_), + .X(_0075_)); + sky130_fd_sc_hd__nor2_1 _2002_ (.A(net147), + .B(net100), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0262_)); - sky130_fd_sc_hd__and3b_1 _1998_ (.A_N(_0262_), - .B(net117), - .C(_0261_), + .Y(_0076_)); + sky130_fd_sc_hd__and2_1 _2003_ (.A(\main.demo.zic._q_clock_count[1] ), + .B(\main.demo.zic._q_clock_count[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0080_)); - sky130_fd_sc_hd__and2_1 _1999_ (.A(\main.demo.zic._q_clock_count[6] ), - .B(_0262_), + .X(_0265_)); + sky130_fd_sc_hd__and3b_1 _2004_ (.A_N(_0265_), + .B(net120), + .C(_0150_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0263_)); - sky130_fd_sc_hd__o21ai_1 _2000_ (.A1(\main.demo.zic._q_clock_count[6] ), - .A2(_0262_), - .B1(net116), + .X(_0077_)); + sky130_fd_sc_hd__a21oi_1 _2005_ (.A1(\main.demo.zic._q_clock_count[2] ), + .A2(_0265_), + .B1(net100), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0264_)); - sky130_fd_sc_hd__nor2_1 _2001_ (.A(_0263_), - .B(_0264_), + .Y(_0266_)); + sky130_fd_sc_hd__o21a_1 _2006_ (.A1(net190), + .A2(_0265_), + .B1(_0266_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0081_)); - sky130_fd_sc_hd__and3_1 _2002_ (.A(\main.demo.zic._q_clock_count[7] ), - .B(\main.demo.zic._q_clock_count[6] ), - .C(_0262_), + .X(_0078_)); + sky130_fd_sc_hd__a31o_1 _2007_ (.A1(\main.demo.zic._q_clock_count[1] ), + .A2(\main.demo.zic._q_clock_count[0] ), + .A3(\main.demo.zic._q_clock_count[2] ), + .B1(\main.demo.zic._q_clock_count[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0265_)); - sky130_fd_sc_hd__o21ai_1 _2003_ (.A1(net163), - .A2(_0263_), - .B1(net117), + .X(_0267_)); + sky130_fd_sc_hd__and3_1 _2008_ (.A(\main.demo.zic._q_clock_count[3] ), + .B(\main.demo.zic._q_clock_count[2] ), + .C(_0265_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0266_)); - sky130_fd_sc_hd__nor2_1 _2004_ (.A(_0265_), - .B(_0266_), + .X(_0268_)); + sky130_fd_sc_hd__and3b_1 _2009_ (.A_N(_0268_), + .B(net122), + .C(_0267_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0082_)); - sky130_fd_sc_hd__o21ai_1 _2005_ (.A1(net136), - .A2(_0265_), - .B1(net116), + .X(_0079_)); + sky130_fd_sc_hd__o21ai_1 _2010_ (.A1(\main.demo.zic._q_clock_count[4] ), + .A2(_0268_), + .B1(net122), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0267_)); - sky130_fd_sc_hd__a21oi_1 _2006_ (.A1(net136), - .A2(_0265_), - .B1(_0267_), + .Y(_0269_)); + sky130_fd_sc_hd__a21oi_1 _2011_ (.A1(net160), + .A2(_0268_), + .B1(_0269_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0083_)); - sky130_fd_sc_hd__and2b_1 _2007_ (.A_N(\main._w_demo_video_vs ), - .B(\main.demo._q_prev_vs ), + .Y(_0080_)); + sky130_fd_sc_hd__a21o_1 _2012_ (.A1(\main.demo.zic._q_clock_count[4] ), + .A2(_0268_), + .B1(\main.demo.zic._q_clock_count[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0268_)); - sky130_fd_sc_hd__xnor2_2 _2008_ (.A(\main.demo._q_frame[0] ), - .B(_0268_), + .X(_0270_)); + sky130_fd_sc_hd__and3_1 _2013_ (.A(\main.demo.zic._q_clock_count[5] ), + .B(\main.demo.zic._q_clock_count[4] ), + .C(_0268_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0269_)); - sky130_fd_sc_hd__and4b_1 _2009_ (.A_N(\main._w_demo_video_vs ), - .B(\main.demo._q_prev_vs ), - .C(\main.demo._q_frame[0] ), - .D(\main.demo._q_frame[1] ), + .X(_0271_)); + sky130_fd_sc_hd__and3b_1 _2014_ (.A_N(_0271_), + .B(net122), + .C(_0270_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0270_)); - sky130_fd_sc_hd__nand3_1 _2010_ (.A(\main.demo._q_frame[0] ), - .B(\main.demo._q_frame[1] ), - .C(_0268_), + .X(_0081_)); + sky130_fd_sc_hd__and2_1 _2015_ (.A(\main.demo.zic._q_clock_count[6] ), + .B(_0271_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0271_)); - sky130_fd_sc_hd__nand2_1 _2011_ (.A(\main.demo._q_frame[2] ), - .B(_0270_), + .X(_0272_)); + sky130_fd_sc_hd__o21ai_1 _2016_ (.A1(\main.demo.zic._q_clock_count[6] ), + .A2(_0271_), + .B1(net122), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0272_)); - sky130_fd_sc_hd__nor2_1 _2012_ (.A(_0423_), - .B(_0272_), + .Y(_0273_)); + sky130_fd_sc_hd__nor2_1 _2017_ (.A(_0272_), + .B(_0273_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0273_)); - sky130_fd_sc_hd__and2_1 _2013_ (.A(\main.demo._q_frame[4] ), - .B(\main.demo._q_frame[5] ), + .Y(_0082_)); + sky130_fd_sc_hd__and3_1 _2018_ (.A(\main.demo.zic._q_clock_count[7] ), + .B(\main.demo.zic._q_clock_count[6] ), + .C(_0271_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0274_)); - sky130_fd_sc_hd__and4_2 _2014_ (.A(\main.demo._q_frame[2] ), - .B(\main.demo._q_frame[3] ), - .C(_0270_), - .D(_0274_), + sky130_fd_sc_hd__o21ai_1 _2019_ (.A1(net163), + .A2(_0272_), + .B1(net118), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0275_)); - sky130_fd_sc_hd__and3_1 _2015_ (.A(\main.demo._q_frame[6] ), - .B(\main.demo._q_frame[7] ), - .C(_0275_), + .Y(_0275_)); + sky130_fd_sc_hd__nor2_1 _2020_ (.A(_0274_), + .B(_0275_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0276_)); - sky130_fd_sc_hd__a31o_1 _2016_ (.A1(\main.demo._q_frame[6] ), - .A2(\main.demo._q_frame[7] ), - .A3(_0275_), - .B1(_0424_), + .Y(_0083_)); + sky130_fd_sc_hd__o21ai_1 _2021_ (.A1(net142), + .A2(_0274_), + .B1(net118), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0276_)); + sky130_fd_sc_hd__a21oi_1 _2022_ (.A1(net142), + .A2(_0274_), + .B1(_0276_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0084_)); + sky130_fd_sc_hd__and4b_2 _2023_ (.A_N(\main._w_demo_video_vs ), + .B(\main.demo._q_frame[0] ), + .C(\main.demo._q_prev_vs ), + .D(\main.demo._q_frame[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0277_)); - sky130_fd_sc_hd__nand4_1 _2017_ (.A(\main.demo._q_frame[6] ), - .B(\main.demo._q_frame[7] ), - .C(_0424_), - .D(_0275_), + sky130_fd_sc_hd__a21oi_1 _2024_ (.A1(\main.demo._q_frame[0] ), + .A2(_0562_), + .B1(\main.demo._q_frame[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0278_)); - sky130_fd_sc_hd__and2_4 _2018_ (.A(_0277_), + sky130_fd_sc_hd__or2_1 _2025_ (.A(_0277_), .B(_0278_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0279_)); - sky130_fd_sc_hd__inv_2 _2019_ (.A(_0279_), + sky130_fd_sc_hd__and3_1 _2026_ (.A(\main.demo._q_frame[2] ), + .B(\main.demo._q_frame[3] ), + .C(_0277_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0280_)); - sky130_fd_sc_hd__xor2_2 _2020_ (.A(_0269_), - .B(_0279_), + .X(_0280_)); + sky130_fd_sc_hd__and2_1 _2027_ (.A(\main.demo._q_frame[3] ), + .B(\main.demo._q_frame[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0281_)); - sky130_fd_sc_hd__nand2_1 _2021_ (.A(\main.demo._q_vT[0] ), - .B(_0281_), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Y(_0282_)); - sky130_fd_sc_hd__a21o_1 _2022_ (.A1(net11), - .A2(_0281_), - .B1(net194), + sky130_fd_sc_hd__and4_2 _2028_ (.A(\main.demo._q_frame[2] ), + .B(\main.demo._q_frame[5] ), + .C(_0277_), + .D(_0281_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0283_)); - sky130_fd_sc_hd__o211a_1 _2023_ (.A1(_1050_), - .A2(_0282_), - .B1(_0283_), - .C1(net9), + .X(_0282_)); + sky130_fd_sc_hd__nand2_1 _2029_ (.A(\main.demo._q_frame[6] ), + .B(_0282_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0084_)); - sky130_fd_sc_hd__a21oi_1 _2024_ (.A1(\main.demo._q_frame[0] ), - .A2(_0268_), - .B1(\main.demo._q_frame[1] ), + .Y(_0283_)); + sky130_fd_sc_hd__nand2_1 _2030_ (.A(\main.demo._q_frame[7] ), + .B(\main.demo._q_frame[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0284_)); - sky130_fd_sc_hd__or2_1 _2025_ (.A(_0270_), - .B(_0284_), + sky130_fd_sc_hd__inv_2 _2031_ (.A(_0284_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0285_)); - sky130_fd_sc_hd__a21o_1 _2026_ (.A1(_0277_), - .A2(_0278_), - .B1(_0285_), + .Y(_0285_)); + sky130_fd_sc_hd__a31o_1 _2032_ (.A1(\main.demo._q_frame[6] ), + .A2(_0282_), + .A3(_0285_), + .B1(\main.demo._q_frame[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0286_)); - sky130_fd_sc_hd__nand3_1 _2027_ (.A(_0277_), - .B(_0278_), - .C(_0285_), + sky130_fd_sc_hd__nand4_4 _2033_ (.A(\main.demo._q_frame[6] ), + .B(\main.demo._q_frame[9] ), + .C(_0282_), + .D(_0285_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0287_)); - sky130_fd_sc_hd__and3_1 _2028_ (.A(\main.demo._q_vT[1] ), - .B(_0286_), - .C(_0287_), + sky130_fd_sc_hd__nand2_2 _2034_ (.A(_0286_), + .B(_0287_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0288_)); - sky130_fd_sc_hd__a21o_1 _2029_ (.A1(_0286_), - .A2(_0287_), - .B1(\main.demo._q_vT[1] ), + .Y(_0288_)); + sky130_fd_sc_hd__inv_2 _2035_ (.A(_0288_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0289_)); - sky130_fd_sc_hd__nand2b_1 _2030_ (.A_N(_0288_), - .B(_0289_), + .Y(_0289_)); + sky130_fd_sc_hd__a21oi_1 _2036_ (.A1(_0286_), + .A2(_0287_), + .B1(_0279_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0290_)); - sky130_fd_sc_hd__xnor2_1 _2031_ (.A(_0282_), - .B(_0290_), + sky130_fd_sc_hd__and3_1 _2037_ (.A(_0279_), + .B(_0286_), + .C(_0287_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0291_)); - sky130_fd_sc_hd__nand2_1 _2032_ (.A(net11), + .X(_0291_)); + sky130_fd_sc_hd__or2_1 _2038_ (.A(_0290_), .B(_0291_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0292_)); - sky130_fd_sc_hd__o211a_1 _2033_ (.A1(net161), - .A2(net11), - .B1(net9), - .C1(_0292_), + .X(_0292_)); + sky130_fd_sc_hd__a21o_1 _2039_ (.A1(net11), + .A2(_0292_), + .B1(net186), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0085_)); - sky130_fd_sc_hd__nand2_1 _2034_ (.A(_0422_), - .B(_0271_), + .X(_0293_)); + sky130_fd_sc_hd__nand2_1 _2040_ (.A(\main.demo._q_vT[0] ), + .B(_0292_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0293_)); - sky130_fd_sc_hd__nand2_1 _2035_ (.A(_0272_), - .B(_0293_), + .Y(_0294_)); + sky130_fd_sc_hd__o211a_1 _2041_ (.A1(_1065_), + .A2(_0294_), + .B1(_0293_), + .C1(net10), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0294_)); - sky130_fd_sc_hd__xnor2_2 _2036_ (.A(_0279_), - .B(_0294_), + .X(_0085_)); + sky130_fd_sc_hd__xor2_1 _2042_ (.A(\main.demo._q_frame[2] ), + .B(_0277_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0295_)); - sky130_fd_sc_hd__and2b_1 _2037_ (.A_N(_0295_), - .B(\main.demo._q_vT[2] ), + .X(_0295_)); + sky130_fd_sc_hd__nand3_1 _2043_ (.A(_0286_), + .B(_0287_), + .C(_0295_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0296_)); - sky130_fd_sc_hd__xnor2_1 _2038_ (.A(\main.demo._q_vT[2] ), - .B(_0295_), + .Y(_0296_)); + sky130_fd_sc_hd__a21o_1 _2044_ (.A1(_0286_), + .A2(_0287_), + .B1(_0295_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0297_)); - sky130_fd_sc_hd__a31o_1 _2039_ (.A1(\main.demo._q_vT[0] ), - .A2(_0281_), - .A3(_0289_), - .B1(_0288_), + .X(_0297_)); + sky130_fd_sc_hd__and3_1 _2045_ (.A(\main.demo._q_vT[1] ), + .B(_0296_), + .C(_0297_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0298_)); - sky130_fd_sc_hd__nand2_1 _2040_ (.A(_0297_), - .B(_0298_), + sky130_fd_sc_hd__a21o_1 _2046_ (.A1(_0296_), + .A2(_0297_), + .B1(\main.demo._q_vT[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0299_)); - sky130_fd_sc_hd__or2_1 _2041_ (.A(_0297_), - .B(_0298_), + .X(_0299_)); + sky130_fd_sc_hd__nand2b_1 _2047_ (.A_N(_0298_), + .B(_0299_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0300_)); - sky130_fd_sc_hd__a21o_1 _2042_ (.A1(_0299_), - .A2(_0300_), - .B1(_1050_), + .Y(_0300_)); + sky130_fd_sc_hd__xnor2_1 _2048_ (.A(_0294_), + .B(_0300_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0301_)); - sky130_fd_sc_hd__o211a_1 _2043_ (.A1(net175), - .A2(net11), - .B1(net9), - .C1(_0301_), + .Y(_0301_)); + sky130_fd_sc_hd__nand2_1 _2049_ (.A(net11), + .B(_0301_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0086_)); - sky130_fd_sc_hd__and2_1 _2044_ (.A(_0423_), - .B(_0272_), + .Y(_0302_)); + sky130_fd_sc_hd__o211a_1 _2050_ (.A1(net162), + .A2(net11), + .B1(net10), + .C1(_0302_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0302_)); - sky130_fd_sc_hd__or2_1 _2045_ (.A(_0273_), - .B(_0302_), + .X(_0086_)); + sky130_fd_sc_hd__a21oi_1 _2051_ (.A1(\main.demo._q_frame[2] ), + .A2(_0277_), + .B1(\main.demo._q_frame[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0303_)); - sky130_fd_sc_hd__xnor2_2 _2046_ (.A(_0279_), + .Y(_0303_)); + sky130_fd_sc_hd__nor2_1 _2052_ (.A(_0280_), .B(_0303_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0304_)); - sky130_fd_sc_hd__and2b_1 _2047_ (.A_N(_0304_), - .B(\main.demo._q_vT[3] ), + sky130_fd_sc_hd__xnor2_2 _2053_ (.A(_0288_), + .B(_0304_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0305_)); - sky130_fd_sc_hd__xnor2_1 _2048_ (.A(\main.demo._q_vT[3] ), - .B(_0304_), + .Y(_0305_)); + sky130_fd_sc_hd__and2_1 _2054_ (.A(\main.demo._q_vT[2] ), + .B(_0305_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0306_)); - sky130_fd_sc_hd__a21o_1 _2049_ (.A1(_0297_), - .A2(_0298_), - .B1(_0296_), + .X(_0306_)); + sky130_fd_sc_hd__xor2_1 _2055_ (.A(\main.demo._q_vT[2] ), + .B(_0305_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0307_)); - sky130_fd_sc_hd__xor2_1 _2050_ (.A(_0306_), - .B(_0307_), + sky130_fd_sc_hd__a31o_1 _2056_ (.A1(\main.demo._q_vT[0] ), + .A2(_0292_), + .A3(_0299_), + .B1(_0298_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0308_)); - sky130_fd_sc_hd__or2_1 _2051_ (.A(\main.demo._q_vT[3] ), - .B(net11), + sky130_fd_sc_hd__xor2_1 _2057_ (.A(_0307_), + .B(_0308_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0309_)); - sky130_fd_sc_hd__o211a_1 _2052_ (.A1(_1050_), - .A2(_0308_), - .B1(_0309_), - .C1(net9), + sky130_fd_sc_hd__or2_1 _2058_ (.A(\main.demo._q_vT[2] ), + .B(net11), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0087_)); - sky130_fd_sc_hd__xor2_1 _2053_ (.A(\main.demo._q_frame[4] ), - .B(_0273_), + .X(_0310_)); + sky130_fd_sc_hd__o211a_1 _2059_ (.A1(_1065_), + .A2(_0309_), + .B1(_0310_), + .C1(net10), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0310_)); - sky130_fd_sc_hd__xnor2_1 _2054_ (.A(_0279_), - .B(_0310_), + .X(_0087_)); + sky130_fd_sc_hd__nor2_1 _2060_ (.A(\main.demo._q_frame[4] ), + .B(_0280_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0311_)); - sky130_fd_sc_hd__nand2_1 _2055_ (.A(\main.demo._q_vT[4] ), - .B(_0311_), + sky130_fd_sc_hd__a31o_1 _2061_ (.A1(\main.demo._q_frame[2] ), + .A2(_0277_), + .A3(_0281_), + .B1(_0311_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0312_)); - sky130_fd_sc_hd__or2_1 _2056_ (.A(\main.demo._q_vT[4] ), - .B(_0311_), + .X(_0312_)); + sky130_fd_sc_hd__xor2_1 _2062_ (.A(_0288_), + .B(_0312_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0313_)); - sky130_fd_sc_hd__and2_1 _2057_ (.A(_0312_), + sky130_fd_sc_hd__nand2_1 _2063_ (.A(\main.demo._q_vT[3] ), .B(_0313_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0314_)); - sky130_fd_sc_hd__inv_2 _2058_ (.A(_0314_), + .Y(_0314_)); + sky130_fd_sc_hd__or2_1 _2064_ (.A(\main.demo._q_vT[3] ), + .B(_0313_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0315_)); - sky130_fd_sc_hd__a21oi_2 _2059_ (.A1(_0306_), - .A2(_0307_), - .B1(_0305_), + .X(_0315_)); + sky130_fd_sc_hd__and2_1 _2065_ (.A(_0314_), + .B(_0315_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0316_)); - sky130_fd_sc_hd__xnor2_1 _2060_ (.A(_0314_), - .B(_0316_), + .X(_0316_)); + sky130_fd_sc_hd__a21o_1 _2066_ (.A1(_0307_), + .A2(_0308_), + .B1(_0306_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0317_)); - sky130_fd_sc_hd__or2_1 _2061_ (.A(\main.demo._q_vT[4] ), - .B(net11), + .X(_0317_)); + sky130_fd_sc_hd__xnor2_1 _2067_ (.A(_0316_), + .B(_0317_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0318_)); - sky130_fd_sc_hd__o211a_1 _2062_ (.A1(_1050_), - .A2(_0317_), - .B1(_0318_), - .C1(net9), + .Y(_0318_)); + sky130_fd_sc_hd__nand2_1 _2068_ (.A(net11), + .B(_0318_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0088_)); - sky130_fd_sc_hd__o21ai_1 _2063_ (.A1(_0315_), - .A2(_0316_), - .B1(_0312_), + .Y(_0319_)); + sky130_fd_sc_hd__o211a_1 _2069_ (.A1(net167), + .A2(net11), + .B1(net10), + .C1(_0319_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0319_)); - sky130_fd_sc_hd__a21o_1 _2064_ (.A1(\main.demo._q_frame[4] ), - .A2(_0273_), + .X(_0088_)); + sky130_fd_sc_hd__a31o_1 _2070_ (.A1(\main.demo._q_frame[2] ), + .A2(_0277_), + .A3(_0281_), .B1(\main.demo._q_frame[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0320_)); - sky130_fd_sc_hd__nand2b_1 _2065_ (.A_N(_0275_), + sky130_fd_sc_hd__nand2b_1 _2071_ (.A_N(_0282_), .B(_0320_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0321_)); - sky130_fd_sc_hd__mux2_1 _2066_ (.A0(\main.demo._q_frame[8] ), - .A1(_0279_), + sky130_fd_sc_hd__mux2_1 _2072_ (.A0(_0431_), + .A1(_0289_), .S(_0321_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0322_)); - sky130_fd_sc_hd__nand2b_1 _2067_ (.A_N(\main.demo._q_vT[5] ), + sky130_fd_sc_hd__and2_1 _2073_ (.A(\main.demo._q_vT[4] ), .B(_0322_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0323_)); - sky130_fd_sc_hd__nand2b_1 _2068_ (.A_N(_0322_), - .B(\main.demo._q_vT[5] ), + .X(_0323_)); + sky130_fd_sc_hd__or2_1 _2074_ (.A(\main.demo._q_vT[4] ), + .B(_0322_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0324_)); - sky130_fd_sc_hd__nand2_1 _2069_ (.A(_0323_), + .X(_0324_)); + sky130_fd_sc_hd__nand2b_1 _2075_ (.A_N(_0323_), .B(_0324_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0325_)); - sky130_fd_sc_hd__a21oi_1 _2070_ (.A1(_0319_), - .A2(_0325_), - .B1(_1050_), + sky130_fd_sc_hd__a21bo_1 _2076_ (.A1(_0315_), + .A2(_0317_), + .B1_N(_0314_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0326_)); - sky130_fd_sc_hd__o21ai_1 _2071_ (.A1(_0319_), - .A2(_0325_), - .B1(_0326_), + .X(_0326_)); + sky130_fd_sc_hd__xnor2_1 _2077_ (.A(_0325_), + .B(_0326_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0327_)); - sky130_fd_sc_hd__o211a_1 _2072_ (.A1(net181), - .A2(_1049_), - .B1(net9), - .C1(_0327_), + sky130_fd_sc_hd__o21a_1 _2078_ (.A1(\main.demo._q_vT[4] ), + .A2(net11), + .B1(net10), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0089_)); - sky130_fd_sc_hd__xnor2_1 _2073_ (.A(\main.demo._q_frame[6] ), - .B(_0275_), + .X(_0328_)); + sky130_fd_sc_hd__o21a_1 _2079_ (.A1(_1065_), + .A2(_0327_), + .B1(_0328_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0328_)); - sky130_fd_sc_hd__mux2_1 _2074_ (.A0(_0424_), - .A1(_0280_), - .S(_0328_), + .X(_0089_)); + sky130_fd_sc_hd__or2_1 _2080_ (.A(\main.demo._q_frame[6] ), + .B(_0282_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0329_)); - sky130_fd_sc_hd__and2_1 _2075_ (.A(\main.demo._q_vT[6] ), + sky130_fd_sc_hd__and2_1 _2081_ (.A(_0283_), .B(_0329_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0330_)); - sky130_fd_sc_hd__or2_1 _2076_ (.A(\main.demo._q_vT[6] ), - .B(_0329_), + sky130_fd_sc_hd__nor2_1 _2082_ (.A(_0288_), + .B(_0330_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0331_)); - sky130_fd_sc_hd__and2b_1 _2077_ (.A_N(_0330_), - .B(_0331_), + .Y(_0331_)); + sky130_fd_sc_hd__a21o_1 _2083_ (.A1(_0431_), + .A2(_0330_), + .B1(_0331_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0332_)); - sky130_fd_sc_hd__o211ai_2 _2078_ (.A1(_0315_), - .A2(_0316_), - .B1(_0324_), - .C1(_0312_), + sky130_fd_sc_hd__nand2_1 _2084_ (.A(\main.demo._q_vT[5] ), + .B(_0332_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0333_)); - sky130_fd_sc_hd__and3_1 _2079_ (.A(_0323_), + sky130_fd_sc_hd__or2_1 _2085_ (.A(\main.demo._q_vT[5] ), .B(_0332_), - .C(_0333_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0334_)); - sky130_fd_sc_hd__a21oi_1 _2080_ (.A1(_0323_), - .A2(_0333_), - .B1(_0332_), + sky130_fd_sc_hd__a21oi_1 _2086_ (.A1(_0324_), + .A2(_0326_), + .B1(_0323_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0335_)); - sky130_fd_sc_hd__o21ai_1 _2081_ (.A1(_0334_), - .A2(_0335_), - .B1(net11), + sky130_fd_sc_hd__and3_1 _2087_ (.A(_0333_), + .B(_0334_), + .C(_0335_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0336_)); - sky130_fd_sc_hd__o211a_1 _2082_ (.A1(net180), - .A2(_1049_), - .B1(net9), - .C1(_0336_), + .X(_0336_)); + sky130_fd_sc_hd__a21oi_1 _2088_ (.A1(_0333_), + .A2(_0334_), + .B1(_0335_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0090_)); - sky130_fd_sc_hd__a21oi_2 _2083_ (.A1(\main.demo._q_frame[6] ), - .A2(_0275_), - .B1(\main.demo._q_frame[7] ), + .Y(_0337_)); + sky130_fd_sc_hd__o21a_1 _2089_ (.A1(\main.demo._q_vT[5] ), + .A2(net11), + .B1(net10), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0337_)); - sky130_fd_sc_hd__xnor2_2 _2084_ (.A(\main.demo._q_frame[8] ), - .B(_0337_), + .X(_0338_)); + sky130_fd_sc_hd__o31a_1 _2090_ (.A1(_1065_), + .A2(_0336_), + .A3(_0337_), + .B1(_0338_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0338_)); - sky130_fd_sc_hd__nand2_1 _2085_ (.A(\main.demo._q_vT[7] ), - .B(_0338_), + .X(_0090_)); + sky130_fd_sc_hd__xnor2_1 _2091_ (.A(\main.demo._q_frame[7] ), + .B(_0283_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Y(_0339_)); - sky130_fd_sc_hd__or2_1 _2086_ (.A(\main.demo._q_vT[7] ), - .B(_0338_), + sky130_fd_sc_hd__nor2_1 _2092_ (.A(_0288_), + .B(_0339_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0340_)); - sky130_fd_sc_hd__and2_1 _2087_ (.A(_0339_), - .B(_0340_), + .Y(_0340_)); + sky130_fd_sc_hd__a21o_1 _2093_ (.A1(_0431_), + .A2(_0339_), + .B1(_0340_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0341_)); - sky130_fd_sc_hd__a31o_1 _2088_ (.A1(_0323_), - .A2(_0331_), - .A3(_0333_), - .B1(_0330_), + sky130_fd_sc_hd__xnor2_1 _2094_ (.A(_0424_), + .B(_0341_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0342_)); - sky130_fd_sc_hd__nand2_1 _2089_ (.A(_0341_), - .B(_0342_), + .Y(_0342_)); + sky130_fd_sc_hd__a221o_1 _2095_ (.A1(_0324_), + .A2(_0326_), + .B1(_0332_), + .B2(\main.demo._q_vT[5] ), + .C1(_0323_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0343_)); - sky130_fd_sc_hd__or2_1 _2090_ (.A(_0341_), - .B(_0342_), + .X(_0343_)); + sky130_fd_sc_hd__nand2_1 _2096_ (.A(_0334_), + .B(_0343_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0344_)); - sky130_fd_sc_hd__a21o_1 _2091_ (.A1(_0343_), - .A2(_0344_), - .B1(_1050_), + .Y(_0344_)); + sky130_fd_sc_hd__xnor2_1 _2097_ (.A(_0342_), + .B(_0344_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0345_)); - sky130_fd_sc_hd__o211a_1 _2092_ (.A1(net187), - .A2(net11), - .B1(net9), - .C1(_0345_), + .Y(_0345_)); + sky130_fd_sc_hd__nand2_1 _2098_ (.A(_0424_), + .B(_1065_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0346_)); + sky130_fd_sc_hd__o211a_1 _2099_ (.A1(_1065_), + .A2(_0345_), + .B1(_0346_), + .C1(net10), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0091_)); - sky130_fd_sc_hd__a21oi_1 _2093_ (.A1(_0339_), - .A2(_0343_), - .B1(_1050_), + sky130_fd_sc_hd__a31o_1 _2100_ (.A1(\main.demo._q_frame[6] ), + .A2(\main.demo._q_frame[7] ), + .A3(_0282_), + .B1(\main.demo._q_frame[8] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0347_)); + sky130_fd_sc_hd__xnor2_2 _2101_ (.A(_0431_), + .B(_0347_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0348_)); + sky130_fd_sc_hd__nand2_1 _2102_ (.A(\main.demo._q_vT[7] ), + .B(_0348_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0349_)); + sky130_fd_sc_hd__xnor2_1 _2103_ (.A(_0423_), + .B(_0348_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0350_)); + sky130_fd_sc_hd__a32o_1 _2104_ (.A1(_0334_), + .A2(_0342_), + .A3(_0343_), + .B1(_0341_), + .B2(\main.demo._q_vT[6] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0351_)); + sky130_fd_sc_hd__nand2_1 _2105_ (.A(_0350_), + .B(_0351_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0352_)); + sky130_fd_sc_hd__or2_1 _2106_ (.A(_0350_), + .B(_0351_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0353_)); + sky130_fd_sc_hd__a21o_1 _2107_ (.A1(_0352_), + .A2(_0353_), + .B1(_1065_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0354_)); + sky130_fd_sc_hd__o211a_1 _2108_ (.A1(\main.demo._q_vT[7] ), + .A2(net11), + .B1(net10), + .C1(_0354_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0092_)); + sky130_fd_sc_hd__a21oi_1 _2109_ (.A1(_0349_), + .A2(_0352_), + .B1(_1065_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0346_)); - sky130_fd_sc_hd__a211oi_2 _2094_ (.A1(_0339_), - .A2(_0343_), - .B1(_0413_), - .C1(_1050_), + .Y(_0355_)); + sky130_fd_sc_hd__or2_1 _2110_ (.A(\main.demo._q_vT[8] ), + .B(_0355_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0347_)); - sky130_fd_sc_hd__o21ai_1 _2095_ (.A1(net164), - .A2(_0346_), - .B1(net9), + .X(_0356_)); + sky130_fd_sc_hd__nand2_1 _2111_ (.A(\main.demo._q_vT[8] ), + .B(_0355_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0348_)); - sky130_fd_sc_hd__nor2_1 _2096_ (.A(_0347_), - .B(_0348_), + .Y(_0357_)); + sky130_fd_sc_hd__and3_1 _2112_ (.A(net10), + .B(_0356_), + .C(_0357_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0092_)); - sky130_fd_sc_hd__o21ai_1 _2097_ (.A1(\main.demo._q_vT[9] ), - .A2(_0347_), - .B1(net10), + .X(_0093_)); + sky130_fd_sc_hd__nor2_1 _2113_ (.A(_0422_), + .B(_0357_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0349_)); - sky130_fd_sc_hd__a21oi_1 _2098_ (.A1(net165), - .A2(_0347_), - .B1(_0349_), + .Y(_0358_)); + sky130_fd_sc_hd__a21bo_1 _2114_ (.A1(_0422_), + .A2(_0357_), + .B1_N(net9), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0093_)); - sky130_fd_sc_hd__a21o_1 _2099_ (.A1(\main.demo._q_vT[9] ), - .A2(_0347_), - .B1(\main.demo._q_vT[10] ), + .X(_0359_)); + sky130_fd_sc_hd__nor2_1 _2115_ (.A(_0358_), + .B(_0359_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0350_)); - sky130_fd_sc_hd__and3_1 _2100_ (.A(\main.demo._q_vT[10] ), + .Y(_0094_)); + sky130_fd_sc_hd__nand2_1 _2116_ (.A(\main.demo._q_vT[10] ), .B(\main.demo._q_vT[9] ), - .C(_0347_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0351_)); - sky130_fd_sc_hd__and3b_1 _2101_ (.A_N(_0351_), - .B(net10), - .C(_0350_), + .Y(_0360_)); + sky130_fd_sc_hd__and4_1 _2117_ (.A(\main.demo._q_vT[10] ), + .B(\main.demo._q_vT[9] ), + .C(\main.demo._q_vT[8] ), + .D(_0355_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0094_)); - sky130_fd_sc_hd__xor2_1 _2102_ (.A(\main.demo._q_vT[11] ), - .B(_0351_), + .X(_0361_)); + sky130_fd_sc_hd__o221a_1 _2118_ (.A1(\main.demo._q_vT[10] ), + .A2(_0358_), + .B1(_0360_), + .B2(_0357_), + .C1(net9), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0352_)); - sky130_fd_sc_hd__and2_1 _2103_ (.A(net10), - .B(_0352_), + .X(_0095_)); + sky130_fd_sc_hd__a21boi_1 _2119_ (.A1(\main.demo._q_vT[11] ), + .A2(_0361_), + .B1_N(net9), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0095_)); - sky130_fd_sc_hd__and3_1 _2104_ (.A(_0429_), - .B(_1068_), - .C(_0134_), + .Y(_0362_)); + sky130_fd_sc_hd__o21a_1 _2120_ (.A1(net176), + .A2(_0361_), + .B1(_0362_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0096_)); - sky130_fd_sc_hd__a21o_1 _2105_ (.A1(\main.demo.vga._q_xcount[1] ), - .A2(\main.demo.vga._q_xcount[0] ), - .B1(\main.demo.vga._q_xcount[2] ), + sky130_fd_sc_hd__and3_1 _2121_ (.A(net122), + .B(_0433_), + .C(_1083_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0353_)); - sky130_fd_sc_hd__and3_1 _2106_ (.A(_1069_), - .B(_0134_), - .C(_0353_), + .X(_0097_)); + sky130_fd_sc_hd__a21o_1 _2122_ (.A1(\main.demo.vga._q_xcount[0] ), + .A2(\main.demo.vga._q_xcount[1] ), + .B1(\main.demo.vga._q_xcount[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0097_)); - sky130_fd_sc_hd__a31o_1 _2107_ (.A1(\main.demo.vga._q_xcount[1] ), - .A2(\main.demo.vga._q_xcount[0] ), - .A3(\main.demo.vga._q_xcount[2] ), - .B1(\main.demo.vga._q_xcount[3] ), + .X(_0363_)); + sky130_fd_sc_hd__and3_1 _2123_ (.A(_1084_), + .B(_0139_), + .C(_0363_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0354_)); - sky130_fd_sc_hd__and3b_1 _2108_ (.A_N(_1070_), - .B(_0134_), - .C(_0354_), + .X(_0098_)); + sky130_fd_sc_hd__a31o_1 _2124_ (.A1(\main.demo.vga._q_xcount[0] ), + .A2(\main.demo.vga._q_xcount[1] ), + .A3(\main.demo.vga._q_xcount[2] ), + .B1(\main.demo.vga._q_xcount[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0098_)); - sky130_fd_sc_hd__o21ai_1 _2109_ (.A1(\main.demo.vga._q_xcount[4] ), - .A2(_1070_), - .B1(_0134_), + .X(_0364_)); + sky130_fd_sc_hd__and3b_1 _2125_ (.A_N(_1085_), + .B(_0139_), + .C(_0364_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0355_)); - sky130_fd_sc_hd__a21oi_1 _2110_ (.A1(net173), - .A2(_1070_), - .B1(_0355_), + .X(_0099_)); + sky130_fd_sc_hd__or2_1 _2126_ (.A(\main.demo.vga._q_xcount[4] ), + .B(_1085_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0099_)); - sky130_fd_sc_hd__o211a_1 _2111_ (.A1(\main.demo.vga._q_xcount[7] ), - .A2(_1080_), - .B1(_1082_), - .C1(_0134_), + .X(_0365_)); + sky130_fd_sc_hd__and3_1 _2127_ (.A(_1091_), + .B(_0139_), + .C(_0365_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(_0100_)); - sky130_fd_sc_hd__or2_1 _2112_ (.A(\main.demo._q_uT[0] ), - .B(_0281_), + sky130_fd_sc_hd__o211a_1 _2128_ (.A1(\main.demo.vga._q_xcount[7] ), + .A2(_1095_), + .B1(_1097_), + .C1(_0139_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0356_)); - sky130_fd_sc_hd__and2_1 _2113_ (.A(\main._w_demo_video_hs ), - .B(net118), + .X(_0101_)); + sky130_fd_sc_hd__o21a_1 _2129_ (.A1(_0290_), + .A2(_0291_), + .B1(net152), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0357_)); - sky130_fd_sc_hd__nand2_2 _2114_ (.A(\main._w_demo_video_hs ), - .B(net118), + .X(_0366_)); + sky130_fd_sc_hd__and2_2 _2130_ (.A(\main._w_demo_video_hs ), + .B(net121), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0358_)); - sky130_fd_sc_hd__nand2_1 _2115_ (.A(\main.demo._q_uT[0] ), - .B(_0281_), + .X(_0367_)); + sky130_fd_sc_hd__nand2_4 _2131_ (.A(\main._w_demo_video_hs ), + .B(net121), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0359_)); - sky130_fd_sc_hd__and3_1 _2116_ (.A(_0356_), - .B(_0357_), - .C(_0359_), + .Y(_0368_)); + sky130_fd_sc_hd__o21ai_1 _2132_ (.A1(net152), + .A2(_0292_), + .B1(_0367_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0101_)); - sky130_fd_sc_hd__and3_1 _2117_ (.A(\main.demo._q_uT[1] ), - .B(_0286_), - .C(_0287_), + .Y(_0369_)); + sky130_fd_sc_hd__nor2_1 _2133_ (.A(_0366_), + .B(_0369_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0360_)); - sky130_fd_sc_hd__a21o_1 _2118_ (.A1(_0286_), - .A2(_0287_), - .B1(\main.demo._q_uT[1] ), + .Y(_0102_)); + sky130_fd_sc_hd__and3_1 _2134_ (.A(\main.demo._q_uT[1] ), + .B(_0296_), + .C(_0297_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0361_)); - sky130_fd_sc_hd__nand2b_1 _2119_ (.A_N(_0360_), - .B(_0361_), + .X(_0370_)); + sky130_fd_sc_hd__nand3_1 _2135_ (.A(\main.demo._q_uT[1] ), + .B(_0296_), + .C(_0297_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0362_)); - sky130_fd_sc_hd__a21oi_1 _2120_ (.A1(_0359_), - .A2(_0362_), - .B1(net8), + .Y(_0371_)); + sky130_fd_sc_hd__a21o_1 _2136_ (.A1(_0296_), + .A2(_0297_), + .B1(\main.demo._q_uT[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0363_)); - sky130_fd_sc_hd__o21a_1 _2121_ (.A1(_0359_), - .A2(_0362_), - .B1(_0363_), + .X(_0372_)); + sky130_fd_sc_hd__a21o_1 _2137_ (.A1(_0371_), + .A2(_0372_), + .B1(_0366_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0102_)); - sky130_fd_sc_hd__and2b_1 _2122_ (.A_N(_0295_), - .B(\main.demo._q_uT[2] ), + .X(_0373_)); + sky130_fd_sc_hd__and3_1 _2138_ (.A(_0366_), + .B(_0371_), + .C(_0372_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0364_)); - sky130_fd_sc_hd__xnor2_1 _2123_ (.A(\main.demo._q_uT[2] ), - .B(_0295_), + .X(_0374_)); + sky130_fd_sc_hd__and3b_1 _2139_ (.A_N(_0374_), + .B(_0367_), + .C(_0373_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0365_)); - sky130_fd_sc_hd__a31o_1 _2124_ (.A1(\main.demo._q_uT[0] ), - .A2(_0281_), - .A3(_0361_), - .B1(_0360_), + .X(_0103_)); + sky130_fd_sc_hd__and2_1 _2140_ (.A(\main.demo._q_uT[2] ), + .B(_0305_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0366_)); - sky130_fd_sc_hd__a21oi_1 _2125_ (.A1(_0365_), - .A2(_0366_), - .B1(net8), + .X(_0375_)); + sky130_fd_sc_hd__xor2_1 _2141_ (.A(\main.demo._q_uT[2] ), + .B(_0305_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0367_)); - sky130_fd_sc_hd__o21a_1 _2126_ (.A1(_0365_), - .A2(_0366_), - .B1(_0367_), + .X(_0376_)); + sky130_fd_sc_hd__o21a_1 _2142_ (.A1(_0370_), + .A2(_0374_), + .B1(_0376_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0103_)); - sky130_fd_sc_hd__and2b_1 _2127_ (.A_N(_0304_), - .B(\main.demo._q_uT[3] ), + .X(_0377_)); + sky130_fd_sc_hd__nor2_1 _2143_ (.A(_0368_), + .B(_0377_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0368_)); - sky130_fd_sc_hd__and2b_1 _2128_ (.A_N(\main.demo._q_uT[3] ), - .B(_0304_), + .Y(_0378_)); + sky130_fd_sc_hd__o31a_1 _2144_ (.A1(_0370_), + .A2(_0374_), + .A3(_0376_), + .B1(_0378_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0369_)); - sky130_fd_sc_hd__nor2_1 _2129_ (.A(_0368_), - .B(_0369_), + .X(_0104_)); + sky130_fd_sc_hd__nand2_1 _2145_ (.A(\main.demo._q_uT[3] ), + .B(_0313_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0370_)); - sky130_fd_sc_hd__a21o_1 _2130_ (.A1(_0365_), - .A2(_0366_), - .B1(_0364_), + .Y(_0379_)); + sky130_fd_sc_hd__or2_1 _2146_ (.A(\main.demo._q_uT[3] ), + .B(_0313_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0371_)); - sky130_fd_sc_hd__a21oi_1 _2131_ (.A1(_0370_), - .A2(_0371_), - .B1(net8), + .X(_0380_)); + sky130_fd_sc_hd__and2_1 _2147_ (.A(_0379_), + .B(_0380_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0372_)); - sky130_fd_sc_hd__o21a_1 _2132_ (.A1(_0370_), - .A2(_0371_), - .B1(_0372_), + .X(_0381_)); + sky130_fd_sc_hd__or3_1 _2148_ (.A(_0375_), + .B(_0377_), + .C(_0381_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0104_)); - sky130_fd_sc_hd__nand2_1 _2133_ (.A(\main.demo._q_uT[4] ), - .B(_0311_), + .X(_0382_)); + sky130_fd_sc_hd__o21ai_1 _2149_ (.A1(_0375_), + .A2(_0377_), + .B1(_0381_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0373_)); - sky130_fd_sc_hd__or2_1 _2134_ (.A(\main.demo._q_uT[4] ), - .B(_0311_), + .Y(_0383_)); + sky130_fd_sc_hd__and3_1 _2150_ (.A(_0367_), + .B(_0382_), + .C(_0383_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0374_)); - sky130_fd_sc_hd__and2_1 _2135_ (.A(_0373_), - .B(_0374_), + .X(_0105_)); + sky130_fd_sc_hd__nand2_1 _2151_ (.A(\main.demo._q_uT[4] ), + .B(_0322_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0375_)); - sky130_fd_sc_hd__inv_2 _2136_ (.A(_0375_), + .Y(_0384_)); + sky130_fd_sc_hd__or2_1 _2152_ (.A(\main.demo._q_uT[4] ), + .B(_0322_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0376_)); - sky130_fd_sc_hd__a21oi_1 _2137_ (.A1(_0370_), - .A2(_0371_), - .B1(_0368_), + .X(_0385_)); + sky130_fd_sc_hd__nand2_1 _2153_ (.A(_0384_), + .B(_0385_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0377_)); - sky130_fd_sc_hd__nand2_1 _2138_ (.A(_0376_), - .B(_0377_), + .Y(_0386_)); + sky130_fd_sc_hd__a21o_1 _2154_ (.A1(_0379_), + .A2(_0383_), + .B1(_0386_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0378_)); - sky130_fd_sc_hd__or2_1 _2139_ (.A(_0376_), - .B(_0377_), + .X(_0387_)); + sky130_fd_sc_hd__a31o_1 _2155_ (.A1(_0379_), + .A2(_0383_), + .A3(_0386_), + .B1(_0368_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0379_)); - sky130_fd_sc_hd__and3_1 _2140_ (.A(_0357_), - .B(_0378_), - .C(_0379_), + .X(_0388_)); + sky130_fd_sc_hd__and2b_1 _2156_ (.A_N(_0388_), + .B(_0387_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0105_)); - sky130_fd_sc_hd__nand2_1 _2141_ (.A(_0373_), - .B(_0379_), + .X(_0106_)); + sky130_fd_sc_hd__and2_1 _2157_ (.A(\main.demo._q_uT[5] ), + .B(_0332_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0380_)); - sky130_fd_sc_hd__nand2b_1 _2142_ (.A_N(\main.demo._q_uT[5] ), - .B(_0322_), + .X(_0389_)); + sky130_fd_sc_hd__inv_2 _2158_ (.A(_0389_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0381_)); - sky130_fd_sc_hd__nand2b_1 _2143_ (.A_N(_0322_), - .B(\main.demo._q_uT[5] ), + .Y(_0390_)); + sky130_fd_sc_hd__or2_1 _2159_ (.A(\main.demo._q_uT[5] ), + .B(_0332_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0382_)); - sky130_fd_sc_hd__and3_1 _2144_ (.A(_0380_), - .B(_0381_), - .C(_0382_), + .X(_0391_)); + sky130_fd_sc_hd__inv_2 _2160_ (.A(_0391_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0383_)); - sky130_fd_sc_hd__a21oi_1 _2145_ (.A1(_0381_), - .A2(_0382_), - .B1(_0380_), + .Y(_0392_)); + sky130_fd_sc_hd__nor2_1 _2161_ (.A(_0389_), + .B(_0392_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0384_)); - sky130_fd_sc_hd__nor3_1 _2146_ (.A(net8), - .B(_0383_), - .C(_0384_), + .Y(_0393_)); + sky130_fd_sc_hd__nand2_1 _2162_ (.A(_0384_), + .B(_0387_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0106_)); - sky130_fd_sc_hd__nand2_1 _2147_ (.A(\main.demo._q_uT[6] ), - .B(_0329_), + .Y(_0394_)); + sky130_fd_sc_hd__o21ai_1 _2163_ (.A1(_0393_), + .A2(_0394_), + .B1(_0367_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0385_)); - sky130_fd_sc_hd__or2_1 _2148_ (.A(\main.demo._q_uT[6] ), - .B(_0329_), + .Y(_0395_)); + sky130_fd_sc_hd__a21oi_1 _2164_ (.A1(_0393_), + .A2(_0394_), + .B1(_0395_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0386_)); - sky130_fd_sc_hd__nand2_1 _2149_ (.A(_0385_), - .B(_0386_), + .Y(_0107_)); + sky130_fd_sc_hd__and2_1 _2165_ (.A(\main.demo._q_uT[6] ), + .B(_0341_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0387_)); - sky130_fd_sc_hd__o211a_1 _2150_ (.A1(_0376_), - .A2(_0377_), - .B1(_0382_), - .C1(_0373_), + .X(_0396_)); + sky130_fd_sc_hd__nor2_1 _2166_ (.A(\main.demo._q_uT[6] ), + .B(_0341_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0388_)); - sky130_fd_sc_hd__nand2_1 _2151_ (.A(_0380_), - .B(_0381_), + .Y(_0397_)); + sky130_fd_sc_hd__nor2_1 _2167_ (.A(_0396_), + .B(_0397_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0389_)); - sky130_fd_sc_hd__or3b_1 _2152_ (.A(_0387_), - .B(_0388_), - .C_N(_0381_), + .Y(_0398_)); + sky130_fd_sc_hd__inv_2 _2168_ (.A(_0398_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0390_)); - sky130_fd_sc_hd__a31o_1 _2153_ (.A1(_0382_), - .A2(_0387_), - .A3(_0389_), - .B1(net8), + .Y(_0399_)); + sky130_fd_sc_hd__a211o_1 _2169_ (.A1(_0391_), + .A2(_0394_), + .B1(_0398_), + .C1(_0389_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0391_)); - sky130_fd_sc_hd__and2b_1 _2154_ (.A_N(_0391_), - .B(_0390_), + .X(_0400_)); + sky130_fd_sc_hd__a311oi_2 _2170_ (.A1(_0384_), + .A2(_0387_), + .A3(_0390_), + .B1(_0392_), + .C1(_0399_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0107_)); - sky130_fd_sc_hd__nand2_1 _2155_ (.A(\main.demo._q_uT[7] ), - .B(_0338_), + .Y(_0401_)); + sky130_fd_sc_hd__and3b_1 _2171_ (.A_N(_0401_), + .B(_0367_), + .C(_0400_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0392_)); - sky130_fd_sc_hd__or2_1 _2156_ (.A(\main.demo._q_uT[7] ), - .B(_0338_), + .X(_0108_)); + sky130_fd_sc_hd__nand2_1 _2172_ (.A(\main.demo._q_uT[7] ), + .B(_0348_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0393_)); - sky130_fd_sc_hd__nand2_1 _2157_ (.A(_0392_), - .B(_0393_), + .Y(_0402_)); + sky130_fd_sc_hd__xor2_1 _2173_ (.A(\main.demo._q_uT[7] ), + .B(_0348_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0394_)); - sky130_fd_sc_hd__a21o_1 _2158_ (.A1(_0385_), - .A2(_0390_), - .B1(_0394_), + .X(_0403_)); + sky130_fd_sc_hd__or3_1 _2174_ (.A(_0396_), + .B(_0401_), + .C(_0403_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0395_)); - sky130_fd_sc_hd__a31o_1 _2159_ (.A1(_0385_), - .A2(_0390_), - .A3(_0394_), - .B1(net8), + .X(_0404_)); + sky130_fd_sc_hd__o21ai_2 _2175_ (.A1(_0396_), + .A2(_0401_), + .B1(_0403_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0396_)); - sky130_fd_sc_hd__and2b_1 _2160_ (.A_N(_0396_), - .B(_0395_), + .Y(_0405_)); + sky130_fd_sc_hd__and3_1 _2176_ (.A(_0367_), + .B(_0404_), + .C(_0405_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0108_)); - sky130_fd_sc_hd__a21oi_2 _2161_ (.A1(_0392_), - .A2(_0395_), - .B1(_0426_), + .X(_0109_)); + sky130_fd_sc_hd__a21oi_2 _2177_ (.A1(_0402_), + .A2(_0405_), + .B1(_0432_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0397_)); - sky130_fd_sc_hd__a31o_1 _2162_ (.A1(_0426_), - .A2(_0392_), - .A3(_0395_), - .B1(net8), + .Y(_0406_)); + sky130_fd_sc_hd__a31o_1 _2178_ (.A1(_0432_), + .A2(_0402_), + .A3(_0405_), + .B1(_0368_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0398_)); - sky130_fd_sc_hd__nor2_1 _2163_ (.A(_0397_), - .B(_0398_), + .X(_0407_)); + sky130_fd_sc_hd__nor2_1 _2179_ (.A(_0406_), + .B(_0407_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0109_)); - sky130_fd_sc_hd__a21oi_1 _2164_ (.A1(\main.demo._q_uT[9] ), - .A2(_0397_), - .B1(net8), + .Y(_0110_)); + sky130_fd_sc_hd__a21oi_1 _2180_ (.A1(\main.demo._q_uT[9] ), + .A2(_0406_), + .B1(_0368_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0399_)); - sky130_fd_sc_hd__o21a_1 _2165_ (.A1(net196), - .A2(_0397_), - .B1(_0399_), + .Y(_0408_)); + sky130_fd_sc_hd__o21a_1 _2181_ (.A1(net189), + .A2(_0406_), + .B1(_0408_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0110_)); - sky130_fd_sc_hd__a21o_1 _2166_ (.A1(\main.demo._q_uT[9] ), - .A2(_0397_), + .X(_0111_)); + sky130_fd_sc_hd__a21o_1 _2182_ (.A1(\main.demo._q_uT[9] ), + .A2(_0406_), .B1(\main.demo._q_uT[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0400_)); - sky130_fd_sc_hd__and3_1 _2167_ (.A(\main.demo._q_uT[9] ), + .X(_0409_)); + sky130_fd_sc_hd__and3_1 _2183_ (.A(\main.demo._q_uT[9] ), .B(\main.demo._q_uT[10] ), - .C(_0397_), + .C(_0406_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0401_)); - sky130_fd_sc_hd__and3b_1 _2168_ (.A_N(_0401_), - .B(_0357_), - .C(_0400_), + .X(_0410_)); + sky130_fd_sc_hd__and3b_1 _2184_ (.A_N(_0410_), + .B(_0367_), + .C(_0409_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0111_)); - sky130_fd_sc_hd__a21oi_1 _2169_ (.A1(\main.demo._q_uT[11] ), - .A2(_0401_), - .B1(_0358_), + .X(_0112_)); + sky130_fd_sc_hd__a21oi_1 _2185_ (.A1(\main.demo._q_uT[11] ), + .A2(_0410_), + .B1(_0368_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0402_)); - sky130_fd_sc_hd__o21a_1 _2170_ (.A1(net169), - .A2(_0401_), - .B1(_0402_), + .Y(_0411_)); + sky130_fd_sc_hd__o21a_1 _2186_ (.A1(net175), + .A2(_0410_), + .B1(_0411_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0112_)); - sky130_fd_sc_hd__nor2_1 _2171_ (.A(net150), - .B(net8), + .X(_0113_)); + sky130_fd_sc_hd__nor2_1 _2187_ (.A(net151), + .B(_0368_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0113_)); - sky130_fd_sc_hd__a21oi_1 _2172_ (.A1(\main.demo._q_u[0] ), + .Y(_0114_)); + sky130_fd_sc_hd__a21oi_1 _2188_ (.A1(\main.demo._q_u[0] ), .A2(\main.demo._q_u[1] ), - .B1(net8), + .B1(_0368_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0403_)); - sky130_fd_sc_hd__o21a_1 _2173_ (.A1(\main.demo._q_u[0] ), - .A2(net182), - .B1(_0403_), + .Y(_0412_)); + sky130_fd_sc_hd__o21a_1 _2189_ (.A1(net151), + .A2(net178), + .B1(_0412_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0114_)); - sky130_fd_sc_hd__a21o_1 _2174_ (.A1(\main.demo._q_u[0] ), + .X(_0115_)); + sky130_fd_sc_hd__a21o_1 _2190_ (.A1(\main.demo._q_u[0] ), .A2(\main.demo._q_u[1] ), .B1(\main.demo._q_u[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0404_)); - sky130_fd_sc_hd__and3_1 _2175_ (.A(\main.demo._q_u[0] ), + .X(_0413_)); + sky130_fd_sc_hd__and3_1 _2191_ (.A(\main.demo._q_u[0] ), .B(\main.demo._q_u[1] ), .C(\main.demo._q_u[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0405_)); - sky130_fd_sc_hd__and3b_1 _2176_ (.A_N(_0405_), - .B(_0357_), - .C(_0404_), + .X(_0414_)); + sky130_fd_sc_hd__and3b_1 _2192_ (.A_N(_0414_), + .B(_0367_), + .C(_0413_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0115_)); - sky130_fd_sc_hd__a21oi_1 _2177_ (.A1(\main.demo._q_u[3] ), - .A2(_0405_), - .B1(_0358_), + .X(_0116_)); + sky130_fd_sc_hd__a21oi_1 _2193_ (.A1(\main.demo._q_u[3] ), + .A2(_0414_), + .B1(_0368_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0406_)); - sky130_fd_sc_hd__o21a_1 _2178_ (.A1(net188), - .A2(_0405_), - .B1(_0406_), + .Y(_0415_)); + sky130_fd_sc_hd__o21a_1 _2194_ (.A1(\main.demo._q_u[3] ), + .A2(_0414_), + .B1(_0415_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0116_)); - sky130_fd_sc_hd__a21o_1 _2179_ (.A1(\main.demo._q_u[3] ), - .A2(_0405_), + .X(_0117_)); + sky130_fd_sc_hd__a21o_1 _2195_ (.A1(\main.demo._q_u[3] ), + .A2(_0414_), .B1(\main.demo._q_u[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0407_)); - sky130_fd_sc_hd__and3_1 _2180_ (.A(\main.demo._q_u[3] ), + .X(_0416_)); + sky130_fd_sc_hd__and3_1 _2196_ (.A(\main.demo._q_u[3] ), .B(\main.demo._q_u[4] ), - .C(_0405_), + .C(_0414_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0408_)); - sky130_fd_sc_hd__and3b_1 _2181_ (.A_N(_0408_), - .B(_0357_), - .C(_0407_), + .X(_0417_)); + sky130_fd_sc_hd__and3b_1 _2197_ (.A_N(_0417_), + .B(_0367_), + .C(_0416_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0117_)); - sky130_fd_sc_hd__a21oi_1 _2182_ (.A1(\main.demo._q_u[5] ), - .A2(_0408_), - .B1(_0358_), + .X(_0118_)); + sky130_fd_sc_hd__a21oi_1 _2198_ (.A1(\main.demo._q_u[5] ), + .A2(_0417_), + .B1(_0368_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0409_)); - sky130_fd_sc_hd__o21a_1 _2183_ (.A1(net186), - .A2(_0408_), - .B1(_0409_), + .Y(_0418_)); + sky130_fd_sc_hd__o21a_1 _2199_ (.A1(net182), + .A2(_0417_), + .B1(_0418_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0118_)); - sky130_fd_sc_hd__a21o_1 _2184_ (.A1(\main.demo._q_u[5] ), - .A2(_0408_), + .X(_0119_)); + sky130_fd_sc_hd__a21o_1 _2200_ (.A1(\main.demo._q_u[5] ), + .A2(_0417_), .B1(\main.demo._q_u[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0410_)); - sky130_fd_sc_hd__and3_1 _2185_ (.A(\main.demo._q_u[5] ), + .X(_0419_)); + sky130_fd_sc_hd__and3_1 _2201_ (.A(\main.demo._q_u[5] ), .B(\main.demo._q_u[6] ), - .C(_0408_), + .C(_0417_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0411_)); - sky130_fd_sc_hd__and3b_1 _2186_ (.A_N(_0411_), - .B(_0357_), - .C(_0410_), + .X(_0420_)); + sky130_fd_sc_hd__and3b_1 _2202_ (.A_N(_0420_), + .B(_0367_), + .C(_0419_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0119_)); - sky130_fd_sc_hd__a21oi_1 _2187_ (.A1(net157), - .A2(_0411_), - .B1(_0358_), + .X(_0120_)); + sky130_fd_sc_hd__a21oi_1 _2203_ (.A1(net165), + .A2(_0420_), + .B1(_0368_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0412_)); - sky130_fd_sc_hd__o21a_1 _2188_ (.A1(net157), - .A2(_0411_), - .B1(_0412_), + .Y(_0421_)); + sky130_fd_sc_hd__o21a_1 _2204_ (.A1(net165), + .A2(_0420_), + .B1(_0421_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0120_)); - sky130_fd_sc_hd__nor2_1 _2189_ (.A(net95), - .B(_0269_), + .X(_0121_)); + sky130_fd_sc_hd__nor2_1 _2205_ (.A(net100), + .B(_0279_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0121_)); - sky130_fd_sc_hd__nor2_1 _2190_ (.A(net94), - .B(_0285_), + .Y(_0122_)); + sky130_fd_sc_hd__and2_1 _2206_ (.A(net121), + .B(_0295_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0122_)); - sky130_fd_sc_hd__nor2_1 _2191_ (.A(net94), - .B(_0294_), + .X(_0123_)); + sky130_fd_sc_hd__and2_1 _2207_ (.A(net121), + .B(_0304_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0123_)); - sky130_fd_sc_hd__nor2_1 _2192_ (.A(net95), - .B(_0303_), + .X(_0124_)); + sky130_fd_sc_hd__nor2_1 _2208_ (.A(net100), + .B(_0312_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0124_)); - sky130_fd_sc_hd__and2_1 _2193_ (.A(net118), - .B(_0310_), + .Y(_0125_)); + sky130_fd_sc_hd__nor2_1 _2209_ (.A(net100), + .B(_0321_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(_0125_)); - sky130_fd_sc_hd__nor2_1 _2194_ (.A(net95), - .B(_0321_), + .Y(_0126_)); + sky130_fd_sc_hd__and2_1 _2210_ (.A(net121), + .B(_0330_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0126_)); - sky130_fd_sc_hd__nor2_1 _2195_ (.A(net95), - .B(_0328_), + .X(_0127_)); + sky130_fd_sc_hd__and2_1 _2211_ (.A(net121), + .B(_0339_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0127_)); - sky130_fd_sc_hd__nor3_1 _2196_ (.A(net95), - .B(_0276_), - .C(_0337_), + .X(_0128_)); + sky130_fd_sc_hd__o211a_1 _2212_ (.A1(_0283_), + .A2(_0284_), + .B1(_0347_), + .C1(net121), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0128_)); - sky130_fd_sc_hd__nor2_1 _2197_ (.A(net95), - .B(_0279_), + .X(_0129_)); + sky130_fd_sc_hd__nor2_1 _2213_ (.A(_0430_), + .B(_0288_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Y(_0129_)); - sky130_fd_sc_hd__dfxtp_2 _2198_ (.CLK(clknet_4_13_0_clk), + .Y(_0130_)); + sky130_fd_sc_hd__dfxtp_4 _2214_ (.CLK(clknet_4_6_0_clk), .D(_0000_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Q(uo_out[0])); - sky130_fd_sc_hd__dfxtp_2 _2199_ (.CLK(clknet_4_13_0_clk), + .Q(\main.demo._q_frame[0] )); + sky130_fd_sc_hd__dfxtp_2 _2215_ (.CLK(clknet_4_9_0_clk), .D(_0001_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Q(uo_out[1])); - sky130_fd_sc_hd__dfxtp_2 _2200_ (.CLK(clknet_4_13_0_clk), + .Q(uo_out[0])); + sky130_fd_sc_hd__dfxtp_2 _2216_ (.CLK(clknet_4_15_0_clk), .D(_0002_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Q(uo_out[2])); - sky130_fd_sc_hd__dfxtp_2 _2201_ (.CLK(clknet_4_7_0_clk), + .Q(uo_out[1])); + sky130_fd_sc_hd__dfxtp_2 _2217_ (.CLK(clknet_4_15_0_clk), .D(_0003_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Q(uo_out[4])); - sky130_fd_sc_hd__dfxtp_2 _2202_ (.CLK(clknet_4_7_0_clk), + .Q(uo_out[2])); + sky130_fd_sc_hd__dfxtp_2 _2218_ (.CLK(clknet_4_7_0_clk), .D(_0004_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Q(uo_out[5])); - sky130_fd_sc_hd__dfxtp_2 _2203_ (.CLK(clknet_4_7_0_clk), + .Q(uo_out[4])); + sky130_fd_sc_hd__dfxtp_2 _2219_ (.CLK(clknet_4_7_0_clk), .D(_0005_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Q(uo_out[6])); - sky130_fd_sc_hd__dfxtp_1 _2204_ (.CLK(clknet_4_5_0_clk), + .Q(uo_out[5])); + sky130_fd_sc_hd__dfxtp_2 _2220_ (.CLK(clknet_4_7_0_clk), .D(_0006_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Q(\main.demo.vga._d_vblank )); - sky130_fd_sc_hd__dfxtp_1 _2205_ (.CLK(clknet_4_10_0_clk), + .Q(uo_out[6])); + sky130_fd_sc_hd__dfxtp_1 _2221_ (.CLK(clknet_4_5_0_clk), .D(_0007_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Q(\main.demo._q_v[0] )); - sky130_fd_sc_hd__dfxtp_1 _2206_ (.CLK(clknet_4_10_0_clk), + .Q(\main.demo.vga._d_vblank )); + sky130_fd_sc_hd__dfxtp_1 _2222_ (.CLK(clknet_4_9_0_clk), .D(_0008_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Q(\main.demo._q_v[1] )); - sky130_fd_sc_hd__dfxtp_1 _2207_ (.CLK(clknet_4_10_0_clk), + .Q(\main.demo._q_v[0] )); + sky130_fd_sc_hd__dfxtp_1 _2223_ (.CLK(clknet_4_8_0_clk), .D(_0009_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Q(\main.demo._q_v[2] )); - sky130_fd_sc_hd__dfxtp_1 _2208_ (.CLK(clknet_4_10_0_clk), + .Q(\main.demo._q_v[1] )); + sky130_fd_sc_hd__dfxtp_1 _2224_ (.CLK(clknet_4_8_0_clk), .D(_0010_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Q(\main.demo._q_v[3] )); - sky130_fd_sc_hd__dfxtp_1 _2209_ (.CLK(clknet_4_10_0_clk), + .Q(\main.demo._q_v[2] )); + sky130_fd_sc_hd__dfxtp_1 _2225_ (.CLK(clknet_4_10_0_clk), .D(_0011_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Q(\main.demo._q_v[4] )); - sky130_fd_sc_hd__dfxtp_1 _2210_ (.CLK(clknet_4_10_0_clk), + .Q(\main.demo._q_v[3] )); + sky130_fd_sc_hd__dfxtp_1 _2226_ (.CLK(clknet_4_10_0_clk), .D(_0012_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Q(\main.demo._q_v[5] )); - sky130_fd_sc_hd__dfxtp_1 _2211_ (.CLK(clknet_4_11_0_clk), + .Q(\main.demo._q_v[4] )); + sky130_fd_sc_hd__dfxtp_1 _2227_ (.CLK(clknet_4_10_0_clk), .D(_0013_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Q(\main.demo._q_v[6] )); - sky130_fd_sc_hd__dfxtp_1 _2212_ (.CLK(clknet_4_6_0_clk), + .Q(\main.demo._q_v[5] )); + sky130_fd_sc_hd__dfxtp_1 _2228_ (.CLK(clknet_4_10_0_clk), .D(_0014_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Q(\main.demo._t___block_1_p4[0] )); - sky130_fd_sc_hd__dfxtp_1 _2213_ (.CLK(clknet_4_6_0_clk), + .Q(\main.demo._q_v[6] )); + sky130_fd_sc_hd__dfxtp_1 _2229_ (.CLK(clknet_4_6_0_clk), .D(_0015_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Q(\main.demo._t___block_1_p4[1] )); - sky130_fd_sc_hd__dfxtp_1 _2214_ (.CLK(clknet_4_5_0_clk), + .Q(\main.demo._t___block_1_p4[0] )); + sky130_fd_sc_hd__dfxtp_1 _2230_ (.CLK(clknet_4_6_0_clk), .D(_0016_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), + .Q(\main.demo._t___block_1_p4[1] )); + sky130_fd_sc_hd__dfxtp_1 _2231_ (.CLK(clknet_4_5_0_clk), + .D(_0017_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), .Q(\main.demo.vga._q_active_v )); - sky130_fd_sc_hd__dfxtp_1 _2215_ (.CLK(clknet_4_5_0_clk), + sky130_fd_sc_hd__dfxtp_1 _2232_ (.CLK(clknet_4_5_0_clk), .D(\main.demo.vga._d_active ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo._w_vga_active )); - sky130_fd_sc_hd__dfxtp_1 _2216_ (.CLK(clknet_4_5_0_clk), - .D(_0017_), + sky130_fd_sc_hd__dfxtp_1 _2233_ (.CLK(clknet_4_5_0_clk), + .D(_0018_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main._w_demo_video_vs )); - sky130_fd_sc_hd__dfxtp_1 _2217_ (.CLK(clknet_4_4_0_clk), - .D(_0018_), + sky130_fd_sc_hd__dfxtp_2 _2234_ (.CLK(clknet_4_6_0_clk), + .D(_0019_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main._w_demo_video_hs )); - sky130_fd_sc_hd__dfxtp_1 _2218_ (.CLK(clknet_4_6_0_clk), - .D(_0019_), + sky130_fd_sc_hd__dfxtp_1 _2235_ (.CLK(clknet_4_7_0_clk), + .D(_0020_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.vga._q_active_h )); - sky130_fd_sc_hd__dfxtp_1 _2219_ (.CLK(clknet_4_6_0_clk), - .D(_0020_), + sky130_fd_sc_hd__dfxtp_1 _2236_ (.CLK(clknet_4_6_0_clk), + .D(_0021_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.vga._q_xcount[0] )); - sky130_fd_sc_hd__dfxtp_1 _2220_ (.CLK(clknet_4_4_0_clk), - .D(_0021_), + sky130_fd_sc_hd__dfxtp_1 _2237_ (.CLK(clknet_4_4_0_clk), + .D(_0022_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.vga._q_xcount[5] )); - sky130_fd_sc_hd__dfxtp_1 _2221_ (.CLK(clknet_4_4_0_clk), - .D(_0022_), + sky130_fd_sc_hd__dfxtp_1 _2238_ (.CLK(clknet_4_4_0_clk), + .D(_0023_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.vga._q_xcount[6] )); - sky130_fd_sc_hd__dfxtp_1 _2222_ (.CLK(clknet_4_4_0_clk), - .D(_0023_), + sky130_fd_sc_hd__dfxtp_1 _2239_ (.CLK(clknet_4_4_0_clk), + .D(_0024_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.vga._q_xcount[8] )); - sky130_fd_sc_hd__dfxtp_1 _2223_ (.CLK(clknet_4_5_0_clk), - .D(_0024_), + sky130_fd_sc_hd__dfxtp_1 _2240_ (.CLK(clknet_4_4_0_clk), + .D(_0025_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.vga._q_xcount[9] )); - sky130_fd_sc_hd__dfxtp_1 _2224_ (.CLK(clknet_4_5_0_clk), - .D(_0025_), + sky130_fd_sc_hd__dfxtp_1 _2241_ (.CLK(clknet_4_4_0_clk), + .D(_0026_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.vga._q_xcount[10] )); - sky130_fd_sc_hd__dfxtp_1 _2225_ (.CLK(clknet_4_5_0_clk), - .D(_0026_), + sky130_fd_sc_hd__dfxtp_1 _2242_ (.CLK(clknet_4_5_0_clk), + .D(_0027_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.vga._q_ycount[0] )); - sky130_fd_sc_hd__dfxtp_1 _2226_ (.CLK(clknet_4_5_0_clk), - .D(_0027_), + sky130_fd_sc_hd__dfxtp_1 _2243_ (.CLK(clknet_4_5_0_clk), + .D(_0028_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.vga._q_ycount[1] )); - sky130_fd_sc_hd__dfxtp_1 _2227_ (.CLK(clknet_4_5_0_clk), - .D(_0028_), + sky130_fd_sc_hd__dfxtp_1 _2244_ (.CLK(clknet_4_5_0_clk), + .D(_0029_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.vga._q_ycount[2] )); - sky130_fd_sc_hd__dfxtp_1 _2228_ (.CLK(clknet_4_5_0_clk), - .D(_0029_), + sky130_fd_sc_hd__dfxtp_1 _2245_ (.CLK(clknet_4_5_0_clk), + .D(_0030_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.vga._q_ycount[3] )); - sky130_fd_sc_hd__dfxtp_1 _2229_ (.CLK(clknet_4_7_0_clk), - .D(_0030_), + sky130_fd_sc_hd__dfxtp_1 _2246_ (.CLK(clknet_4_5_0_clk), + .D(_0031_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.vga._q_ycount[4] )); - sky130_fd_sc_hd__dfxtp_1 _2230_ (.CLK(clknet_4_7_0_clk), - .D(_0031_), + sky130_fd_sc_hd__dfxtp_1 _2247_ (.CLK(clknet_4_5_0_clk), + .D(_0032_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.vga._q_ycount[5] )); - sky130_fd_sc_hd__dfxtp_1 _2231_ (.CLK(clknet_4_5_0_clk), - .D(_0032_), + sky130_fd_sc_hd__dfxtp_1 _2248_ (.CLK(clknet_4_5_0_clk), + .D(_0033_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.vga._q_ycount[6] )); - sky130_fd_sc_hd__dfxtp_1 _2232_ (.CLK(clknet_4_5_0_clk), - .D(_0033_), + sky130_fd_sc_hd__dfxtp_1 _2249_ (.CLK(clknet_4_5_0_clk), + .D(net170), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.vga._q_ycount[7] )); - sky130_fd_sc_hd__dfxtp_1 _2233_ (.CLK(clknet_4_5_0_clk), - .D(_0034_), + sky130_fd_sc_hd__dfxtp_1 _2250_ (.CLK(clknet_4_5_0_clk), + .D(_0035_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.vga._q_ycount[8] )); - sky130_fd_sc_hd__dfxtp_1 _2234_ (.CLK(clknet_4_11_0_clk), - .D(_0035_), + sky130_fd_sc_hd__dfxtp_1 _2251_ (.CLK(clknet_4_10_0_clk), + .D(_0036_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo._q_v[7] )); - sky130_fd_sc_hd__dfxtp_1 _2235_ (.CLK(clknet_4_0_0_clk), - .D(net184), + sky130_fd_sc_hd__dfxtp_1 _2252_ (.CLK(clknet_4_0_0_clk), + .D(_0037_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.zic._q_qpos[0] )); - sky130_fd_sc_hd__dfxtp_1 _2236_ (.CLK(clknet_4_0_0_clk), - .D(_0037_), + sky130_fd_sc_hd__dfxtp_1 _2253_ (.CLK(clknet_4_2_0_clk), + .D(_0038_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.zic._q_qpos[1] )); - sky130_fd_sc_hd__dfxtp_1 _2237_ (.CLK(clknet_4_0_0_clk), - .D(_0038_), + sky130_fd_sc_hd__dfxtp_1 _2254_ (.CLK(clknet_4_0_0_clk), + .D(_0039_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.zic._q_qpos[2] )); - sky130_fd_sc_hd__dfxtp_1 _2238_ (.CLK(clknet_4_1_0_clk), - .D(_0039_), + sky130_fd_sc_hd__dfxtp_1 _2255_ (.CLK(clknet_4_2_0_clk), + .D(_0040_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.zic._q_qpos[3] )); - sky130_fd_sc_hd__dfxtp_1 _2239_ (.CLK(clknet_4_1_0_clk), - .D(_0040_), + sky130_fd_sc_hd__dfxtp_1 _2256_ (.CLK(clknet_4_3_0_clk), + .D(_0041_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.zic._q_qpos[4] )); - sky130_fd_sc_hd__dfxtp_1 _2240_ (.CLK(clknet_4_1_0_clk), - .D(_0041_), + sky130_fd_sc_hd__dfxtp_1 _2257_ (.CLK(clknet_4_3_0_clk), + .D(_0042_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.zic._q_qpos[5] )); - sky130_fd_sc_hd__dfxtp_1 _2241_ (.CLK(clknet_4_1_0_clk), - .D(_0042_), + sky130_fd_sc_hd__dfxtp_1 _2258_ (.CLK(clknet_4_1_0_clk), + .D(_0043_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.zic._q_qpos[6] )); - sky130_fd_sc_hd__dfxtp_1 _2242_ (.CLK(clknet_4_1_0_clk), - .D(_0043_), + sky130_fd_sc_hd__dfxtp_1 _2259_ (.CLK(clknet_4_13_0_clk), + .D(_0044_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.zic._q_qpos[7] )); - sky130_fd_sc_hd__dfxtp_1 _2243_ (.CLK(clknet_4_4_0_clk), - .D(_0044_), + sky130_fd_sc_hd__dfxtp_1 _2260_ (.CLK(clknet_4_3_0_clk), + .D(net158), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.zic._q_qpos[8] )); - sky130_fd_sc_hd__dfxtp_1 _2244_ (.CLK(clknet_4_4_0_clk), - .D(_0045_), + sky130_fd_sc_hd__dfxtp_1 _2261_ (.CLK(clknet_4_13_0_clk), + .D(_0046_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.zic._q_qpos[9] )); - sky130_fd_sc_hd__dfxtp_1 _2245_ (.CLK(clknet_4_4_0_clk), - .D(_0046_), + sky130_fd_sc_hd__dfxtp_1 _2262_ (.CLK(clknet_4_13_0_clk), + .D(_0047_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.zic._q_qpos[10] )); - sky130_fd_sc_hd__dfxtp_1 _2246_ (.CLK(clknet_4_4_0_clk), - .D(_0047_), + sky130_fd_sc_hd__dfxtp_1 _2263_ (.CLK(clknet_4_3_0_clk), + .D(_0048_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.zic._q_qpos[11] )); - sky130_fd_sc_hd__dfxtp_1 _2247_ (.CLK(clknet_4_1_0_clk), - .D(_0048_), + sky130_fd_sc_hd__dfxtp_1 _2264_ (.CLK(clknet_4_6_0_clk), + .D(_0049_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.zic._q_qpos[12] )); - sky130_fd_sc_hd__dfxtp_1 _2248_ (.CLK(clknet_4_0_0_clk), - .D(_0049_), + sky130_fd_sc_hd__dfxtp_1 _2265_ (.CLK(clknet_4_0_0_clk), + .D(_0050_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.zic._q_rythm_count[0] )); - sky130_fd_sc_hd__dfxtp_1 _2249_ (.CLK(clknet_4_0_0_clk), - .D(_0050_), + sky130_fd_sc_hd__dfxtp_1 _2266_ (.CLK(clknet_4_0_0_clk), + .D(_0051_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.zic._q_rythm_count[1] )); - sky130_fd_sc_hd__dfxtp_1 _2250_ (.CLK(clknet_4_0_0_clk), - .D(_0051_), + sky130_fd_sc_hd__dfxtp_1 _2267_ (.CLK(clknet_4_0_0_clk), + .D(_0052_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.zic._q_rythm_count[2] )); - sky130_fd_sc_hd__dfxtp_1 _2251_ (.CLK(clknet_4_0_0_clk), - .D(_0052_), + sky130_fd_sc_hd__dfxtp_1 _2268_ (.CLK(clknet_4_0_0_clk), + .D(_0053_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.zic._q_rythm_count[3] )); - sky130_fd_sc_hd__dfxtp_1 _2252_ (.CLK(clknet_4_0_0_clk), - .D(_0053_), + sky130_fd_sc_hd__dfxtp_1 _2269_ (.CLK(clknet_4_0_0_clk), + .D(_0054_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.zic._q_rythm_count[4] )); - sky130_fd_sc_hd__dfxtp_1 _2253_ (.CLK(clknet_4_0_0_clk), - .D(_0054_), + sky130_fd_sc_hd__dfxtp_1 _2270_ (.CLK(clknet_4_0_0_clk), + .D(_0055_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.zic._q_rythm_count[5] )); - sky130_fd_sc_hd__dfxtp_1 _2254_ (.CLK(clknet_4_0_0_clk), - .D(_0055_), + sky130_fd_sc_hd__dfxtp_1 _2271_ (.CLK(clknet_4_0_0_clk), + .D(_0056_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.zic._q_rythm_count[6] )); - sky130_fd_sc_hd__dfxtp_1 _2255_ (.CLK(clknet_4_0_0_clk), - .D(_0056_), + sky130_fd_sc_hd__dfxtp_1 _2272_ (.CLK(clknet_4_0_0_clk), + .D(_0057_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.zic._q_rythm_count[7] )); - sky130_fd_sc_hd__dfxtp_1 _2256_ (.CLK(clknet_4_0_0_clk), - .D(_0057_), + sky130_fd_sc_hd__dfxtp_1 _2273_ (.CLK(clknet_4_0_0_clk), + .D(_0058_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.zic._q_rythm_count[8] )); - sky130_fd_sc_hd__dfxtp_1 _2257_ (.CLK(clknet_4_0_0_clk), - .D(_0058_), + sky130_fd_sc_hd__dfxtp_1 _2274_ (.CLK(clknet_4_2_0_clk), + .D(_0059_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.zic._q_rythm_count[9] )); - sky130_fd_sc_hd__dfxtp_1 _2258_ (.CLK(clknet_4_2_0_clk), - .D(_0059_), + sky130_fd_sc_hd__dfxtp_1 _2275_ (.CLK(clknet_4_0_0_clk), + .D(_0060_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.zic._q_rythm_count[10] )); - sky130_fd_sc_hd__dfxtp_1 _2259_ (.CLK(clknet_4_2_0_clk), - .D(_0060_), + sky130_fd_sc_hd__dfxtp_1 _2276_ (.CLK(clknet_4_2_0_clk), + .D(_0061_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.zic._q_rythm_count[11] )); - sky130_fd_sc_hd__dfxtp_1 _2260_ (.CLK(clknet_4_0_0_clk), - .D(_0061_), + sky130_fd_sc_hd__dfxtp_1 _2277_ (.CLK(clknet_4_2_0_clk), + .D(_0062_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.zic._q_rythm_count[12] )); - sky130_fd_sc_hd__dfxtp_1 _2261_ (.CLK(clknet_4_3_0_clk), - .D(_0062_), + sky130_fd_sc_hd__dfxtp_1 _2278_ (.CLK(clknet_4_0_0_clk), + .D(_0063_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.zic._q_idx[0] )); - sky130_fd_sc_hd__dfxtp_1 _2262_ (.CLK(clknet_4_1_0_clk), - .D(_0063_), + sky130_fd_sc_hd__dfxtp_1 _2279_ (.CLK(clknet_4_0_0_clk), + .D(_0064_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.zic._q_idx[1] )); - sky130_fd_sc_hd__dfxtp_2 _2263_ (.CLK(clknet_4_3_0_clk), - .D(_0064_), + sky130_fd_sc_hd__dfxtp_1 _2280_ (.CLK(clknet_4_0_0_clk), + .D(_0065_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.zic._q_idx[2] )); - sky130_fd_sc_hd__dfxtp_2 _2264_ (.CLK(clknet_4_3_0_clk), - .D(net154), + sky130_fd_sc_hd__dfxtp_2 _2281_ (.CLK(clknet_4_1_0_clk), + .D(_0066_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.zic._q_idx[3] )); - sky130_fd_sc_hd__dfxtp_1 _2265_ (.CLK(clknet_4_1_0_clk), - .D(_0066_), + sky130_fd_sc_hd__dfxtp_1 _2282_ (.CLK(clknet_4_1_0_clk), + .D(_0067_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.zic._q_idx[4] )); - sky130_fd_sc_hd__dfxtp_1 _2266_ (.CLK(clknet_4_5_0_clk), - .D(_0067_), + sky130_fd_sc_hd__dfxtp_1 _2283_ (.CLK(clknet_4_5_0_clk), + .D(_0068_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo._t___block_1_p4[2] )); - sky130_fd_sc_hd__dfxtp_1 _2267_ (.CLK(clknet_4_5_0_clk), - .D(_0068_), + sky130_fd_sc_hd__dfxtp_1 _2284_ (.CLK(clknet_4_5_0_clk), + .D(_0069_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo._t___block_1_p4[3] )); - sky130_fd_sc_hd__dfxtp_1 _2268_ (.CLK(clknet_4_0_0_clk), - .D(_0069_), + sky130_fd_sc_hd__dfxtp_1 _2285_ (.CLK(clknet_4_1_0_clk), + .D(_0070_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.zic._q_squ_env[0] )); - sky130_fd_sc_hd__dfxtp_1 _2269_ (.CLK(clknet_4_0_0_clk), - .D(_0070_), + sky130_fd_sc_hd__dfxtp_1 _2286_ (.CLK(clknet_4_1_0_clk), + .D(_0071_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.zic._q_squ_env[1] )); - sky130_fd_sc_hd__dfxtp_1 _2270_ (.CLK(clknet_4_2_0_clk), - .D(_0071_), + sky130_fd_sc_hd__dfxtp_1 _2287_ (.CLK(clknet_4_1_0_clk), + .D(_0072_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.zic._q_squ_env[2] )); - sky130_fd_sc_hd__dfxtp_1 _2271_ (.CLK(clknet_4_2_0_clk), - .D(_0072_), + sky130_fd_sc_hd__dfxtp_2 _2288_ (.CLK(clknet_4_1_0_clk), + .D(_0073_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.zic._q_squ_env[3] )); - sky130_fd_sc_hd__dfxtp_1 _2272_ (.CLK(clknet_4_3_0_clk), - .D(_0073_), + sky130_fd_sc_hd__dfxtp_1 _2289_ (.CLK(clknet_4_1_0_clk), + .D(_0074_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.zic._q_squ_env[4] )); - sky130_fd_sc_hd__dfxtp_1 _2273_ (.CLK(clknet_4_3_0_clk), - .D(_0074_), + sky130_fd_sc_hd__dfxtp_1 _2290_ (.CLK(clknet_4_1_0_clk), + .D(_0075_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.zic._q_squ_env[5] )); - sky130_fd_sc_hd__dfxtp_1 _2274_ (.CLK(clknet_4_15_0_clk), + sky130_fd_sc_hd__dfxtp_1 _2291_ (.CLK(clknet_4_11_0_clk), .D(net1), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(rst_n_q)); - sky130_fd_sc_hd__dfxtp_1 _2275_ (.CLK(clknet_4_3_0_clk), - .D(_0075_), + sky130_fd_sc_hd__dfxtp_1 _2292_ (.CLK(clknet_4_1_0_clk), + .D(_0076_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.zic._q_clock_count[0] )); - sky130_fd_sc_hd__dfxtp_1 _2276_ (.CLK(clknet_4_6_0_clk), - .D(_0076_), + sky130_fd_sc_hd__dfxtp_1 _2293_ (.CLK(clknet_4_6_0_clk), + .D(_0077_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.zic._q_clock_count[1] )); - sky130_fd_sc_hd__dfxtp_1 _2277_ (.CLK(clknet_4_6_0_clk), - .D(_0077_), + sky130_fd_sc_hd__dfxtp_1 _2294_ (.CLK(clknet_4_6_0_clk), + .D(_0078_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.zic._q_clock_count[2] )); - sky130_fd_sc_hd__dfxtp_1 _2278_ (.CLK(clknet_4_6_0_clk), - .D(_0078_), + sky130_fd_sc_hd__dfxtp_1 _2295_ (.CLK(clknet_4_6_0_clk), + .D(_0079_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.zic._q_clock_count[3] )); - sky130_fd_sc_hd__dfxtp_1 _2279_ (.CLK(clknet_4_4_0_clk), - .D(_0079_), + sky130_fd_sc_hd__dfxtp_1 _2296_ (.CLK(clknet_4_4_0_clk), + .D(net161), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.zic._q_clock_count[4] )); - sky130_fd_sc_hd__dfxtp_1 _2280_ (.CLK(clknet_4_4_0_clk), - .D(_0080_), + sky130_fd_sc_hd__dfxtp_1 _2297_ (.CLK(clknet_4_4_0_clk), + .D(_0081_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.zic._q_clock_count[5] )); - sky130_fd_sc_hd__dfxtp_1 _2281_ (.CLK(clknet_4_1_0_clk), - .D(_0081_), + sky130_fd_sc_hd__dfxtp_1 _2298_ (.CLK(clknet_4_4_0_clk), + .D(_0082_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.zic._q_clock_count[6] )); - sky130_fd_sc_hd__dfxtp_1 _2282_ (.CLK(clknet_4_1_0_clk), - .D(_0082_), + sky130_fd_sc_hd__dfxtp_1 _2299_ (.CLK(clknet_4_4_0_clk), + .D(_0083_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.zic._q_clock_count[7] )); - sky130_fd_sc_hd__dfxtp_1 _2283_ (.CLK(clknet_4_1_0_clk), - .D(_0083_), + sky130_fd_sc_hd__dfxtp_1 _2300_ (.CLK(clknet_4_4_0_clk), + .D(_0084_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.zic._q_clock_count[8] )); - sky130_fd_sc_hd__dfxtp_1 _2284_ (.CLK(clknet_4_13_0_clk), + sky130_fd_sc_hd__dfxtp_1 _2301_ (.CLK(clknet_4_9_0_clk), .D(\main.demo._d_addr[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo._q_addr[0] )); - sky130_fd_sc_hd__dfxtp_1 _2285_ (.CLK(clknet_4_13_0_clk), + sky130_fd_sc_hd__dfxtp_1 _2302_ (.CLK(clknet_4_9_0_clk), .D(\main.demo._d_addr[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo._q_addr[1] )); - sky130_fd_sc_hd__dfxtp_1 _2286_ (.CLK(clknet_4_14_0_clk), + sky130_fd_sc_hd__dfxtp_1 _2303_ (.CLK(clknet_4_9_0_clk), .D(\main.demo._d_addr[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo._q_addr[2] )); - sky130_fd_sc_hd__dfxtp_1 _2287_ (.CLK(clknet_4_15_0_clk), + sky130_fd_sc_hd__dfxtp_1 _2304_ (.CLK(clknet_4_11_0_clk), .D(\main.demo._d_addr[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo._q_addr[3] )); - sky130_fd_sc_hd__dfxtp_1 _2288_ (.CLK(clknet_4_15_0_clk), + sky130_fd_sc_hd__dfxtp_1 _2305_ (.CLK(clknet_4_11_0_clk), .D(\main.demo._d_addr[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo._q_addr[4] )); - sky130_fd_sc_hd__dfxtp_1 _2289_ (.CLK(clknet_4_14_0_clk), + sky130_fd_sc_hd__dfxtp_1 _2306_ (.CLK(clknet_4_11_0_clk), .D(\main.demo._d_addr[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo._q_addr[5] )); - sky130_fd_sc_hd__dfxtp_1 _2290_ (.CLK(clknet_4_14_0_clk), + sky130_fd_sc_hd__dfxtp_1 _2307_ (.CLK(clknet_4_11_0_clk), .D(\main.demo._d_addr[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo._q_addr[6] )); - sky130_fd_sc_hd__dfxtp_1 _2291_ (.CLK(clknet_4_14_0_clk), + sky130_fd_sc_hd__dfxtp_1 _2308_ (.CLK(clknet_4_11_0_clk), .D(\main.demo._d_addr[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo._q_addr[7] )); - sky130_fd_sc_hd__dfxtp_1 _2292_ (.CLK(clknet_4_15_0_clk), + sky130_fd_sc_hd__dfxtp_1 _2309_ (.CLK(clknet_4_11_0_clk), .D(\main.demo._d_addr[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo._q_addr[8] )); - sky130_fd_sc_hd__dfxtp_2 _2293_ (.CLK(clknet_4_14_0_clk), + sky130_fd_sc_hd__dfxtp_2 _2310_ (.CLK(clknet_4_11_0_clk), .D(\main.demo._d_addr[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo._q_addr[9] )); - sky130_fd_sc_hd__dfxtp_1 _2294_ (.CLK(clknet_4_9_0_clk), - .D(_0084_), + sky130_fd_sc_hd__dfxtp_1 _2311_ (.CLK(clknet_4_3_0_clk), + .D(_0085_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo._q_vT[0] )); - sky130_fd_sc_hd__dfxtp_1 _2295_ (.CLK(clknet_4_9_0_clk), - .D(_0085_), + sky130_fd_sc_hd__dfxtp_1 _2312_ (.CLK(clknet_4_15_0_clk), + .D(_0086_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo._q_vT[1] )); - sky130_fd_sc_hd__dfxtp_1 _2296_ (.CLK(clknet_4_2_0_clk), - .D(_0086_), + sky130_fd_sc_hd__dfxtp_1 _2313_ (.CLK(clknet_4_3_0_clk), + .D(_0087_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo._q_vT[2] )); - sky130_fd_sc_hd__dfxtp_1 _2297_ (.CLK(clknet_4_8_0_clk), - .D(_0087_), + sky130_fd_sc_hd__dfxtp_1 _2314_ (.CLK(clknet_4_12_0_clk), + .D(_0088_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo._q_vT[3] )); - sky130_fd_sc_hd__dfxtp_1 _2298_ (.CLK(clknet_4_8_0_clk), - .D(_0088_), + sky130_fd_sc_hd__dfxtp_1 _2315_ (.CLK(clknet_4_2_0_clk), + .D(_0089_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo._q_vT[4] )); - sky130_fd_sc_hd__dfxtp_1 _2299_ (.CLK(clknet_4_8_0_clk), - .D(_0089_), + sky130_fd_sc_hd__dfxtp_1 _2316_ (.CLK(clknet_4_2_0_clk), + .D(_0090_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo._q_vT[5] )); - sky130_fd_sc_hd__dfxtp_1 _2300_ (.CLK(clknet_4_8_0_clk), - .D(_0090_), + sky130_fd_sc_hd__dfxtp_1 _2317_ (.CLK(clknet_4_12_0_clk), + .D(_0091_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo._q_vT[6] )); - sky130_fd_sc_hd__dfxtp_1 _2301_ (.CLK(clknet_4_9_0_clk), - .D(_0091_), + sky130_fd_sc_hd__dfxtp_1 _2318_ (.CLK(clknet_4_14_0_clk), + .D(_0092_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo._q_vT[7] )); - sky130_fd_sc_hd__dfxtp_1 _2302_ (.CLK(clknet_4_9_0_clk), - .D(_0092_), + sky130_fd_sc_hd__dfxtp_1 _2319_ (.CLK(clknet_4_10_0_clk), + .D(_0093_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo._q_vT[8] )); - sky130_fd_sc_hd__dfxtp_1 _2303_ (.CLK(clknet_4_11_0_clk), - .D(_0093_), + sky130_fd_sc_hd__dfxtp_1 _2320_ (.CLK(clknet_4_10_0_clk), + .D(_0094_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo._q_vT[9] )); - sky130_fd_sc_hd__dfxtp_1 _2304_ (.CLK(clknet_4_11_0_clk), - .D(_0094_), + sky130_fd_sc_hd__dfxtp_1 _2321_ (.CLK(clknet_4_10_0_clk), + .D(_0095_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo._q_vT[10] )); - sky130_fd_sc_hd__dfxtp_1 _2305_ (.CLK(clknet_4_14_0_clk), - .D(_0095_), + sky130_fd_sc_hd__dfxtp_1 _2322_ (.CLK(clknet_4_10_0_clk), + .D(_0096_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo._q_vT[11] )); - sky130_fd_sc_hd__dfxtp_1 _2306_ (.CLK(clknet_4_6_0_clk), - .D(_0096_), + sky130_fd_sc_hd__dfxtp_1 _2323_ (.CLK(clknet_4_7_0_clk), + .D(_0097_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.vga._q_xcount[1] )); - sky130_fd_sc_hd__dfxtp_1 _2307_ (.CLK(clknet_4_6_0_clk), - .D(_0097_), + sky130_fd_sc_hd__dfxtp_1 _2324_ (.CLK(clknet_4_6_0_clk), + .D(_0098_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.vga._q_xcount[2] )); - sky130_fd_sc_hd__dfxtp_1 _2308_ (.CLK(clknet_4_6_0_clk), - .D(_0098_), + sky130_fd_sc_hd__dfxtp_1 _2325_ (.CLK(clknet_4_6_0_clk), + .D(_0099_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.vga._q_xcount[3] )); - sky130_fd_sc_hd__dfxtp_1 _2309_ (.CLK(clknet_4_6_0_clk), - .D(_0099_), + sky130_fd_sc_hd__dfxtp_1 _2326_ (.CLK(clknet_4_4_0_clk), + .D(_0100_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.vga._q_xcount[4] )); - sky130_fd_sc_hd__dfxtp_1 _2310_ (.CLK(clknet_4_4_0_clk), - .D(_0100_), + sky130_fd_sc_hd__dfxtp_1 _2327_ (.CLK(clknet_4_6_0_clk), + .D(_0101_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo.vga._q_xcount[7] )); - sky130_fd_sc_hd__dfxtp_1 _2311_ (.CLK(clknet_4_2_0_clk), - .D(_0101_), + sky130_fd_sc_hd__dfxtp_1 _2328_ (.CLK(clknet_4_3_0_clk), + .D(_0102_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo._q_uT[0] )); - sky130_fd_sc_hd__dfxtp_1 _2312_ (.CLK(clknet_4_2_0_clk), - .D(_0102_), + sky130_fd_sc_hd__dfxtp_1 _2329_ (.CLK(clknet_4_13_0_clk), + .D(_0103_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo._q_uT[1] )); - sky130_fd_sc_hd__dfxtp_1 _2313_ (.CLK(clknet_4_2_0_clk), - .D(_0103_), + sky130_fd_sc_hd__dfxtp_1 _2330_ (.CLK(clknet_4_13_0_clk), + .D(_0104_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo._q_uT[2] )); - sky130_fd_sc_hd__dfxtp_1 _2314_ (.CLK(clknet_4_2_0_clk), - .D(_0104_), + sky130_fd_sc_hd__dfxtp_1 _2331_ (.CLK(clknet_4_12_0_clk), + .D(_0105_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo._q_uT[3] )); - sky130_fd_sc_hd__dfxtp_1 _2315_ (.CLK(clknet_4_8_0_clk), - .D(_0105_), + sky130_fd_sc_hd__dfxtp_1 _2332_ (.CLK(clknet_4_12_0_clk), + .D(_0106_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo._q_uT[4] )); - sky130_fd_sc_hd__dfxtp_1 _2316_ (.CLK(clknet_4_8_0_clk), - .D(_0106_), + sky130_fd_sc_hd__dfxtp_1 _2333_ (.CLK(clknet_4_8_0_clk), + .D(_0107_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo._q_uT[5] )); - sky130_fd_sc_hd__dfxtp_1 _2317_ (.CLK(clknet_4_8_0_clk), - .D(_0107_), + sky130_fd_sc_hd__dfxtp_1 _2334_ (.CLK(clknet_4_8_0_clk), + .D(_0108_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo._q_uT[6] )); - sky130_fd_sc_hd__dfxtp_1 _2318_ (.CLK(clknet_4_10_0_clk), - .D(_0108_), + sky130_fd_sc_hd__dfxtp_1 _2335_ (.CLK(clknet_4_8_0_clk), + .D(_0109_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo._q_uT[7] )); - sky130_fd_sc_hd__dfxtp_1 _2319_ (.CLK(clknet_4_11_0_clk), - .D(_0109_), + sky130_fd_sc_hd__dfxtp_1 _2336_ (.CLK(clknet_4_10_0_clk), + .D(_0110_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo._q_uT[8] )); - sky130_fd_sc_hd__dfxtp_1 _2320_ (.CLK(clknet_4_11_0_clk), - .D(_0110_), + sky130_fd_sc_hd__dfxtp_1 _2337_ (.CLK(clknet_4_10_0_clk), + .D(_0111_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo._q_uT[9] )); - sky130_fd_sc_hd__dfxtp_1 _2321_ (.CLK(clknet_4_11_0_clk), - .D(_0111_), + sky130_fd_sc_hd__dfxtp_1 _2338_ (.CLK(clknet_4_10_0_clk), + .D(_0112_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo._q_uT[10] )); - sky130_fd_sc_hd__dfxtp_1 _2322_ (.CLK(clknet_4_11_0_clk), - .D(net170), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .Q(\main.demo._q_uT[11] )); - sky130_fd_sc_hd__dfxtp_1 _2323_ (.CLK(clknet_4_10_0_clk), + sky130_fd_sc_hd__dfxtp_1 _2339_ (.CLK(clknet_4_10_0_clk), .D(_0113_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Q(\main.demo._q_u[0] )); - sky130_fd_sc_hd__dfxtp_1 _2324_ (.CLK(clknet_4_10_0_clk), + .Q(\main.demo._q_uT[11] )); + sky130_fd_sc_hd__dfxtp_1 _2340_ (.CLK(clknet_4_2_0_clk), .D(_0114_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Q(\main.demo._q_u[1] )); - sky130_fd_sc_hd__dfxtp_1 _2325_ (.CLK(clknet_4_11_0_clk), + .Q(\main.demo._q_u[0] )); + sky130_fd_sc_hd__dfxtp_1 _2341_ (.CLK(clknet_4_2_0_clk), .D(_0115_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Q(\main.demo._q_u[2] )); - sky130_fd_sc_hd__dfxtp_1 _2326_ (.CLK(clknet_4_11_0_clk), + .Q(\main.demo._q_u[1] )); + sky130_fd_sc_hd__dfxtp_1 _2342_ (.CLK(clknet_4_12_0_clk), .D(_0116_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Q(\main.demo._q_u[3] )); - sky130_fd_sc_hd__dfxtp_1 _2327_ (.CLK(clknet_4_12_0_clk), + .Q(\main.demo._q_u[2] )); + sky130_fd_sc_hd__dfxtp_1 _2343_ (.CLK(clknet_4_12_0_clk), .D(_0117_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Q(\main.demo._q_u[4] )); - sky130_fd_sc_hd__dfxtp_1 _2328_ (.CLK(clknet_4_12_0_clk), + .Q(\main.demo._q_u[3] )); + sky130_fd_sc_hd__dfxtp_1 _2344_ (.CLK(clknet_4_14_0_clk), .D(_0118_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Q(\main.demo._q_u[5] )); - sky130_fd_sc_hd__dfxtp_1 _2329_ (.CLK(clknet_4_12_0_clk), + .Q(\main.demo._q_u[4] )); + sky130_fd_sc_hd__dfxtp_1 _2345_ (.CLK(clknet_4_8_0_clk), .D(_0119_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Q(\main.demo._q_u[6] )); - sky130_fd_sc_hd__dfxtp_1 _2330_ (.CLK(clknet_4_9_0_clk), + .Q(\main.demo._q_u[5] )); + sky130_fd_sc_hd__dfxtp_1 _2346_ (.CLK(clknet_4_8_0_clk), .D(_0120_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Q(\main.demo._q_u[7] )); - sky130_fd_sc_hd__dfxtp_4 _2331_ (.CLK(clknet_4_3_0_clk), + .Q(\main.demo._q_u[6] )); + sky130_fd_sc_hd__dfxtp_1 _2347_ (.CLK(clknet_4_8_0_clk), .D(_0121_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .Q(\main.demo._q_frame[0] )); - sky130_fd_sc_hd__dfxtp_1 _2332_ (.CLK(clknet_4_12_0_clk), + .Q(\main.demo._q_u[7] )); + sky130_fd_sc_hd__dfxtp_1 _2348_ (.CLK(clknet_4_7_0_clk), .D(_0122_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo._q_frame[1] )); - sky130_fd_sc_hd__dfxtp_1 _2333_ (.CLK(clknet_4_3_0_clk), + sky130_fd_sc_hd__dfxtp_1 _2349_ (.CLK(clknet_4_15_0_clk), .D(_0123_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo._q_frame[2] )); - sky130_fd_sc_hd__dfxtp_1 _2334_ (.CLK(clknet_4_9_0_clk), + sky130_fd_sc_hd__dfxtp_1 _2350_ (.CLK(clknet_4_14_0_clk), .D(_0124_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo._q_frame[3] )); - sky130_fd_sc_hd__dfxtp_1 _2335_ (.CLK(clknet_4_12_0_clk), + sky130_fd_sc_hd__dfxtp_1 _2351_ (.CLK(clknet_4_14_0_clk), .D(_0125_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo._q_frame[4] )); - sky130_fd_sc_hd__dfxtp_1 _2336_ (.CLK(clknet_4_12_0_clk), + sky130_fd_sc_hd__dfxtp_1 _2352_ (.CLK(clknet_4_14_0_clk), .D(_0126_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo._q_frame[5] )); - sky130_fd_sc_hd__dfxtp_2 _2337_ (.CLK(clknet_4_12_0_clk), + sky130_fd_sc_hd__dfxtp_2 _2353_ (.CLK(clknet_4_9_0_clk), .D(_0127_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo._q_frame[6] )); - sky130_fd_sc_hd__dfxtp_1 _2338_ (.CLK(clknet_4_9_0_clk), + sky130_fd_sc_hd__dfxtp_1 _2354_ (.CLK(clknet_4_8_0_clk), .D(_0128_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo._q_frame[7] )); - sky130_fd_sc_hd__dfxtp_1 _2339_ (.CLK(clknet_4_9_0_clk), + sky130_fd_sc_hd__dfxtp_1 _2355_ (.CLK(clknet_4_14_0_clk), .D(_0129_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo._q_frame[8] )); - sky130_fd_sc_hd__dfxtp_1 _2340_ (.CLK(clknet_4_3_0_clk), - .D(net141), + sky130_fd_sc_hd__dfxtp_1 _2356_ (.CLK(clknet_4_12_0_clk), + .D(_0130_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\main.demo._q_frame[9] )); + sky130_fd_sc_hd__dfxtp_1 _2357_ (.CLK(clknet_4_7_0_clk), + .D(net144), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo._q_prev_vs )); - sky130_fd_sc_hd__dfxtp_1 _2341_ (.CLK(clknet_4_9_0_clk), - .D(net137), + sky130_fd_sc_hd__dfxtp_1 _2358_ (.CLK(clknet_4_13_0_clk), + .D(net143), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(\main.demo._q_prev_hs )); - sky130_fd_sc_hd__dfxtp_2 _2342_ (.CLK(clknet_4_7_0_clk), + sky130_fd_sc_hd__dfxtp_2 _2359_ (.CLK(clknet_4_5_0_clk), .D(\main._w_demo_audio1 ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .Q(uio_out[7])); - sky130_fd_sc_hd__buf_2 _2343_ (.A(net121), + sky130_fd_sc_hd__buf_2 _2360_ (.A(net126), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(uio_oe[0])); - sky130_fd_sc_hd__buf_2 _2344_ (.A(net122), + sky130_fd_sc_hd__buf_2 _2361_ (.A(net127), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(uio_oe[1])); - sky130_fd_sc_hd__buf_2 _2345_ (.A(net123), + sky130_fd_sc_hd__buf_2 _2362_ (.A(net128), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(uio_oe[2])); - sky130_fd_sc_hd__buf_2 _2346_ (.A(net124), + sky130_fd_sc_hd__buf_2 _2363_ (.A(net129), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(uio_oe[3])); - sky130_fd_sc_hd__buf_2 _2347_ (.A(net125), + sky130_fd_sc_hd__buf_2 _2364_ (.A(net130), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(uio_oe[4])); - sky130_fd_sc_hd__buf_2 _2348_ (.A(net126), + sky130_fd_sc_hd__buf_2 _2365_ (.A(net131), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(uio_oe[5])); - sky130_fd_sc_hd__buf_2 _2349_ (.A(net127), + sky130_fd_sc_hd__buf_2 _2366_ (.A(net132), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(uio_oe[6])); - sky130_fd_sc_hd__buf_2 _2350_ (.A(net135), + sky130_fd_sc_hd__buf_2 _2367_ (.A(net140), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(uio_oe[7])); - sky130_fd_sc_hd__buf_2 _2351_ (.A(net128), + sky130_fd_sc_hd__buf_2 _2368_ (.A(net133), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(uio_out[0])); - sky130_fd_sc_hd__buf_2 _2352_ (.A(net129), + sky130_fd_sc_hd__buf_2 _2369_ (.A(net134), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(uio_out[1])); - sky130_fd_sc_hd__buf_2 _2353_ (.A(net130), + sky130_fd_sc_hd__buf_2 _2370_ (.A(net135), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(uio_out[2])); - sky130_fd_sc_hd__buf_2 _2354_ (.A(net131), + sky130_fd_sc_hd__buf_2 _2371_ (.A(net136), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(uio_out[3])); - sky130_fd_sc_hd__buf_2 _2355_ (.A(net132), + sky130_fd_sc_hd__buf_2 _2372_ (.A(net137), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(uio_out[4])); - sky130_fd_sc_hd__buf_2 _2356_ (.A(net133), + sky130_fd_sc_hd__buf_2 _2373_ (.A(net138), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(uio_out[5])); - sky130_fd_sc_hd__buf_2 _2357_ (.A(net134), + sky130_fd_sc_hd__buf_2 _2374_ (.A(net139), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(uio_out[6])); - sky130_fd_sc_hd__clkbuf_4 _2358_ (.A(\main.demo._q_prev_vs ), + sky130_fd_sc_hd__clkbuf_4 _2375_ (.A(\main.demo._q_prev_vs ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(uo_out[3])); - sky130_fd_sc_hd__clkbuf_4 _2359_ (.A(\main.demo._q_prev_hs ), + sky130_fd_sc_hd__clkbuf_4 _2376_ (.A(\main.demo._q_prev_hs ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(uo_out[7])); - sky130_fd_sc_hd__conb_1 _2350__135 (.VGND(VGND), + sky130_fd_sc_hd__conb_1 _2367__140 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .HI(net135)); + .HI(net140)); sky130_fd_sc_hd__clkbuf_16 clkbuf_0_clk (.A(clk), .VGND(VGND), .VNB(VGND), @@ -12233,85 +12283,85 @@ module tt_um_whynot (clk, .VPB(VPWR), .VPWR(VPWR), .X(net1)); - sky130_fd_sc_hd__clkbuf_2 fanout2 (.A(_0201_), + sky130_fd_sc_hd__clkbuf_1 wire2 (.A(_1040_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net2)); - sky130_fd_sc_hd__buf_1 fanout3 (.A(_0201_), + sky130_fd_sc_hd__buf_1 wire3 (.A(_0971_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net3)); - sky130_fd_sc_hd__buf_2 fanout4 (.A(_0146_), + sky130_fd_sc_hd__buf_2 fanout4 (.A(_0437_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net4)); - sky130_fd_sc_hd__clkbuf_1 wire5 (.A(net6), + sky130_fd_sc_hd__buf_1 fanout5 (.A(_0437_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net5)); - sky130_fd_sc_hd__clkbuf_1 wire6 (.A(_0786_), + sky130_fd_sc_hd__clkbuf_2 fanout6 (.A(net7), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net6)); - sky130_fd_sc_hd__buf_1 wire7 (.A(_0431_), + sky130_fd_sc_hd__buf_1 fanout7 (.A(_0207_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net7)); - sky130_fd_sc_hd__buf_2 fanout8 (.A(_0358_), + sky130_fd_sc_hd__buf_2 fanout8 (.A(_0152_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net8)); - sky130_fd_sc_hd__buf_2 fanout9 (.A(_1051_), + sky130_fd_sc_hd__clkbuf_2 fanout9 (.A(net10), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net9)); - sky130_fd_sc_hd__clkbuf_2 fanout10 (.A(_1051_), + sky130_fd_sc_hd__clkbuf_4 fanout10 (.A(_1066_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net10)); - sky130_fd_sc_hd__buf_2 fanout11 (.A(_1049_), + sky130_fd_sc_hd__buf_2 fanout11 (.A(_1064_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net11)); - sky130_fd_sc_hd__buf_2 fanout12 (.A(net14), + sky130_fd_sc_hd__clkbuf_4 fanout12 (.A(_0665_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net12)); - sky130_fd_sc_hd__buf_2 fanout13 (.A(net14), + sky130_fd_sc_hd__buf_2 fanout13 (.A(net15), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net13)); - sky130_fd_sc_hd__buf_2 fanout14 (.A(_0644_), + sky130_fd_sc_hd__dlymetal6s2s_1 fanout14 (.A(net15), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net14)); - sky130_fd_sc_hd__clkbuf_4 fanout15 (.A(_0630_), + sky130_fd_sc_hd__clkbuf_4 fanout15 (.A(_0658_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), @@ -12329,271 +12379,271 @@ module tt_um_whynot (clk, .VPB(VPWR), .VPWR(VPWR), .X(net17)); - sky130_fd_sc_hd__buf_2 fanout18 (.A(_0626_), + sky130_fd_sc_hd__clkbuf_2 fanout18 (.A(_0653_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net18)); - sky130_fd_sc_hd__clkbuf_4 fanout19 (.A(net21), + sky130_fd_sc_hd__clkbuf_4 fanout19 (.A(net20), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net19)); - sky130_fd_sc_hd__buf_2 fanout20 (.A(net21), + sky130_fd_sc_hd__clkbuf_4 fanout20 (.A(_0645_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net20)); - sky130_fd_sc_hd__clkbuf_2 fanout21 (.A(_0623_), + sky130_fd_sc_hd__dlymetal6s2s_1 fanout21 (.A(_0645_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net21)); - sky130_fd_sc_hd__clkbuf_4 fanout22 (.A(_0618_), + sky130_fd_sc_hd__clkbuf_4 fanout22 (.A(net25), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net22)); - sky130_fd_sc_hd__buf_2 fanout23 (.A(_0618_), + sky130_fd_sc_hd__dlymetal6s2s_1 fanout23 (.A(net25), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net23)); - sky130_fd_sc_hd__clkbuf_4 fanout24 (.A(net26), + sky130_fd_sc_hd__clkbuf_4 fanout24 (.A(net25), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net24)); - sky130_fd_sc_hd__clkbuf_4 fanout25 (.A(net26), + sky130_fd_sc_hd__clkbuf_2 fanout25 (.A(_0632_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net25)); - sky130_fd_sc_hd__buf_2 fanout26 (.A(_0617_), + sky130_fd_sc_hd__clkbuf_4 fanout26 (.A(net27), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net26)); - sky130_fd_sc_hd__buf_2 fanout27 (.A(net28), + sky130_fd_sc_hd__clkbuf_4 fanout27 (.A(_0630_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net27)); - sky130_fd_sc_hd__clkbuf_4 fanout28 (.A(_0615_), + sky130_fd_sc_hd__buf_2 fanout28 (.A(net30), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net28)); - sky130_fd_sc_hd__buf_2 fanout29 (.A(net31), + sky130_fd_sc_hd__dlymetal6s2s_1 fanout29 (.A(net30), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net29)); - sky130_fd_sc_hd__buf_2 fanout30 (.A(net31), + sky130_fd_sc_hd__clkbuf_4 fanout30 (.A(_0623_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net30)); - sky130_fd_sc_hd__clkbuf_2 fanout31 (.A(_0614_), + sky130_fd_sc_hd__buf_2 fanout31 (.A(net32), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net31)); - sky130_fd_sc_hd__buf_2 fanout32 (.A(_0613_), + sky130_fd_sc_hd__clkbuf_4 fanout32 (.A(_0621_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net32)); - sky130_fd_sc_hd__buf_2 fanout33 (.A(_0613_), + sky130_fd_sc_hd__clkbuf_4 fanout33 (.A(_0620_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net33)); - sky130_fd_sc_hd__clkbuf_4 fanout34 (.A(net35), + sky130_fd_sc_hd__clkbuf_2 fanout34 (.A(_0620_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net34)); - sky130_fd_sc_hd__clkbuf_4 fanout35 (.A(_0609_), + sky130_fd_sc_hd__buf_2 fanout35 (.A(_0617_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net35)); - sky130_fd_sc_hd__buf_2 fanout36 (.A(net37), + sky130_fd_sc_hd__clkbuf_2 fanout36 (.A(_0617_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net36)); - sky130_fd_sc_hd__buf_2 fanout37 (.A(net38), + sky130_fd_sc_hd__buf_2 fanout37 (.A(_0617_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net37)); - sky130_fd_sc_hd__clkbuf_2 fanout38 (.A(_0606_), + sky130_fd_sc_hd__buf_1 fanout38 (.A(_0617_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net38)); - sky130_fd_sc_hd__clkbuf_4 fanout39 (.A(_0604_), + sky130_fd_sc_hd__clkbuf_4 fanout39 (.A(net42), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net39)); - sky130_fd_sc_hd__clkbuf_4 fanout40 (.A(_0600_), + sky130_fd_sc_hd__clkbuf_4 fanout40 (.A(net42), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net40)); - sky130_fd_sc_hd__buf_2 fanout41 (.A(_0600_), + sky130_fd_sc_hd__dlymetal6s2s_1 fanout41 (.A(net42), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net41)); - sky130_fd_sc_hd__clkbuf_4 fanout42 (.A(net44), + sky130_fd_sc_hd__clkbuf_2 fanout42 (.A(_0613_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net42)); - sky130_fd_sc_hd__buf_2 fanout43 (.A(net44), + sky130_fd_sc_hd__clkbuf_4 fanout43 (.A(net45), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net43)); - sky130_fd_sc_hd__buf_2 fanout44 (.A(_0599_), + sky130_fd_sc_hd__clkbuf_4 fanout44 (.A(net45), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net44)); - sky130_fd_sc_hd__clkbuf_4 fanout45 (.A(_0589_), + sky130_fd_sc_hd__buf_2 fanout45 (.A(_0609_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net45)); - sky130_fd_sc_hd__buf_2 fanout46 (.A(_0589_), + sky130_fd_sc_hd__buf_2 fanout46 (.A(net48), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net46)); - sky130_fd_sc_hd__clkbuf_2 fanout47 (.A(_0589_), + sky130_fd_sc_hd__buf_2 fanout47 (.A(net48), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net47)); - sky130_fd_sc_hd__clkbuf_4 fanout48 (.A(net51), + sky130_fd_sc_hd__buf_2 fanout48 (.A(_0608_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net48)); - sky130_fd_sc_hd__clkbuf_2 fanout49 (.A(net51), + sky130_fd_sc_hd__buf_2 fanout49 (.A(_0606_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net49)); - sky130_fd_sc_hd__buf_2 fanout50 (.A(net51), + sky130_fd_sc_hd__dlymetal6s2s_1 fanout50 (.A(_0606_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net50)); - sky130_fd_sc_hd__clkbuf_2 fanout51 (.A(_0587_), + sky130_fd_sc_hd__buf_2 fanout51 (.A(net53), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net51)); - sky130_fd_sc_hd__clkbuf_4 fanout52 (.A(net54), + sky130_fd_sc_hd__clkbuf_4 fanout52 (.A(net53), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net52)); - sky130_fd_sc_hd__clkbuf_2 fanout53 (.A(net54), + sky130_fd_sc_hd__buf_2 fanout53 (.A(_0603_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net53)); - sky130_fd_sc_hd__buf_2 fanout54 (.A(_0586_), + sky130_fd_sc_hd__clkbuf_4 fanout54 (.A(_0601_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net54)); - sky130_fd_sc_hd__clkbuf_4 fanout55 (.A(net56), + sky130_fd_sc_hd__buf_2 fanout55 (.A(net56), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net55)); - sky130_fd_sc_hd__clkbuf_2 fanout56 (.A(net57), + sky130_fd_sc_hd__clkbuf_4 fanout56 (.A(_0599_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net56)); - sky130_fd_sc_hd__clkbuf_4 fanout57 (.A(_0585_), + sky130_fd_sc_hd__dlymetal6s2s_1 fanout57 (.A(_0599_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net57)); - sky130_fd_sc_hd__buf_2 fanout58 (.A(net60), + sky130_fd_sc_hd__clkbuf_4 fanout58 (.A(_0595_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net58)); - sky130_fd_sc_hd__buf_2 fanout59 (.A(net60), + sky130_fd_sc_hd__clkbuf_4 fanout59 (.A(_0595_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net59)); - sky130_fd_sc_hd__clkbuf_2 fanout60 (.A(_0584_), + sky130_fd_sc_hd__buf_2 fanout60 (.A(net61), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net60)); - sky130_fd_sc_hd__clkbuf_4 fanout61 (.A(net62), + sky130_fd_sc_hd__buf_2 fanout61 (.A(_0594_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net61)); - sky130_fd_sc_hd__buf_2 fanout62 (.A(_0582_), + sky130_fd_sc_hd__clkbuf_4 fanout62 (.A(net64), .VGND(VGND), .VNB(VGND), .VPB(VPWR), @@ -12605,43 +12655,43 @@ module tt_um_whynot (clk, .VPB(VPWR), .VPWR(VPWR), .X(net63)); - sky130_fd_sc_hd__clkbuf_4 fanout64 (.A(_0579_), + sky130_fd_sc_hd__clkbuf_2 fanout64 (.A(_0593_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net64)); - sky130_fd_sc_hd__buf_2 fanout65 (.A(net66), + sky130_fd_sc_hd__clkbuf_4 fanout65 (.A(net66), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net65)); - sky130_fd_sc_hd__buf_2 fanout66 (.A(_0577_), + sky130_fd_sc_hd__clkbuf_4 fanout66 (.A(_0592_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net66)); - sky130_fd_sc_hd__clkbuf_4 fanout67 (.A(_0577_), + sky130_fd_sc_hd__buf_2 fanout67 (.A(net69), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net67)); - sky130_fd_sc_hd__buf_2 fanout68 (.A(_0575_), + sky130_fd_sc_hd__clkbuf_2 fanout68 (.A(net69), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net68)); - sky130_fd_sc_hd__dlymetal6s2s_1 fanout69 (.A(_0575_), + sky130_fd_sc_hd__buf_2 fanout69 (.A(_0590_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net69)); - sky130_fd_sc_hd__buf_2 fanout70 (.A(_0575_), + sky130_fd_sc_hd__buf_2 fanout70 (.A(net72), .VGND(VGND), .VNB(VGND), .VPB(VPWR), @@ -12653,37 +12703,37 @@ module tt_um_whynot (clk, .VPB(VPWR), .VPWR(VPWR), .X(net71)); - sky130_fd_sc_hd__clkbuf_4 fanout72 (.A(_0573_), + sky130_fd_sc_hd__buf_2 fanout72 (.A(_0585_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net72)); - sky130_fd_sc_hd__buf_2 fanout73 (.A(net74), + sky130_fd_sc_hd__buf_2 fanout73 (.A(net75), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net73)); - sky130_fd_sc_hd__clkbuf_4 fanout74 (.A(_0567_), + sky130_fd_sc_hd__clkbuf_2 fanout74 (.A(net75), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net74)); - sky130_fd_sc_hd__buf_2 fanout75 (.A(net77), + sky130_fd_sc_hd__clkbuf_4 fanout75 (.A(_0584_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net75)); - sky130_fd_sc_hd__clkbuf_2 fanout76 (.A(net77), + sky130_fd_sc_hd__clkbuf_4 fanout76 (.A(net77), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net76)); - sky130_fd_sc_hd__clkbuf_4 fanout77 (.A(_0565_), + sky130_fd_sc_hd__buf_2 fanout77 (.A(_0583_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), @@ -12695,55 +12745,55 @@ module tt_um_whynot (clk, .VPB(VPWR), .VPWR(VPWR), .X(net78)); - sky130_fd_sc_hd__clkbuf_2 fanout79 (.A(net80), + sky130_fd_sc_hd__clkbuf_4 fanout79 (.A(_0578_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net79)); - sky130_fd_sc_hd__clkbuf_4 fanout80 (.A(_0564_), + sky130_fd_sc_hd__buf_2 fanout80 (.A(_0575_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net80)); - sky130_fd_sc_hd__buf_2 fanout81 (.A(net82), + sky130_fd_sc_hd__clkbuf_4 fanout81 (.A(_0575_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net81)); - sky130_fd_sc_hd__clkbuf_4 fanout82 (.A(_0563_), + sky130_fd_sc_hd__buf_2 fanout82 (.A(_0573_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net82)); - sky130_fd_sc_hd__buf_2 fanout83 (.A(_0561_), + sky130_fd_sc_hd__dlymetal6s2s_1 fanout83 (.A(net84), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net83)); - sky130_fd_sc_hd__clkbuf_4 fanout84 (.A(_0561_), + sky130_fd_sc_hd__clkbuf_4 fanout84 (.A(_0573_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net84)); - sky130_fd_sc_hd__buf_2 fanout85 (.A(net87), + sky130_fd_sc_hd__buf_2 fanout85 (.A(_0571_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net85)); - sky130_fd_sc_hd__buf_2 fanout86 (.A(net87), + sky130_fd_sc_hd__clkbuf_2 fanout86 (.A(_0571_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net86)); - sky130_fd_sc_hd__buf_2 fanout87 (.A(_0560_), + sky130_fd_sc_hd__clkbuf_4 fanout87 (.A(_0571_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), @@ -12761,139 +12811,139 @@ module tt_um_whynot (clk, .VPB(VPWR), .VPWR(VPWR), .X(net89)); - sky130_fd_sc_hd__buf_2 fanout90 (.A(_0558_), + sky130_fd_sc_hd__clkbuf_2 fanout90 (.A(_0570_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net90)); - sky130_fd_sc_hd__buf_2 fanout91 (.A(net92), + sky130_fd_sc_hd__buf_2 fanout91 (.A(_0569_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net91)); - sky130_fd_sc_hd__clkbuf_2 fanout92 (.A(net93), + sky130_fd_sc_hd__dlymetal6s2s_1 fanout92 (.A(_0569_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net92)); - sky130_fd_sc_hd__buf_2 fanout93 (.A(_0557_), + sky130_fd_sc_hd__buf_2 fanout93 (.A(_0569_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net93)); - sky130_fd_sc_hd__buf_2 fanout94 (.A(_0417_), + sky130_fd_sc_hd__buf_2 fanout94 (.A(_0568_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net94)); - sky130_fd_sc_hd__clkbuf_2 fanout95 (.A(_0417_), + sky130_fd_sc_hd__clkbuf_2 fanout95 (.A(net96), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net95)); - sky130_fd_sc_hd__clkbuf_2 fanout96 (.A(net97), + sky130_fd_sc_hd__buf_2 fanout96 (.A(_0568_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net96)); - sky130_fd_sc_hd__clkbuf_2 fanout97 (.A(\main.demo._q_addr[7] ), + sky130_fd_sc_hd__buf_2 fanout97 (.A(net99), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net97)); - sky130_fd_sc_hd__clkbuf_2 fanout98 (.A(net99), + sky130_fd_sc_hd__buf_2 fanout98 (.A(net99), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net98)); - sky130_fd_sc_hd__clkbuf_2 fanout99 (.A(\main.demo._q_addr[6] ), + sky130_fd_sc_hd__clkbuf_2 fanout99 (.A(_0566_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net99)); - sky130_fd_sc_hd__clkbuf_2 fanout100 (.A(net101), + sky130_fd_sc_hd__clkbuf_4 fanout100 (.A(_0430_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net100)); - sky130_fd_sc_hd__clkbuf_2 fanout101 (.A(\main.demo._q_addr[5] ), + sky130_fd_sc_hd__buf_2 fanout101 (.A(net102), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net101)); - sky130_fd_sc_hd__clkbuf_2 fanout102 (.A(net103), + sky130_fd_sc_hd__clkbuf_2 fanout102 (.A(\main.demo._q_addr[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net102)); - sky130_fd_sc_hd__clkbuf_2 fanout103 (.A(\main.demo._q_addr[4] ), + sky130_fd_sc_hd__buf_2 fanout103 (.A(net104), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net103)); - sky130_fd_sc_hd__clkbuf_2 fanout104 (.A(net106), + sky130_fd_sc_hd__clkbuf_2 fanout104 (.A(\main.demo._q_addr[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net104)); - sky130_fd_sc_hd__dlymetal6s2s_1 fanout105 (.A(net106), + sky130_fd_sc_hd__clkbuf_2 fanout105 (.A(\main.demo._q_addr[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net105)); - sky130_fd_sc_hd__clkbuf_2 fanout106 (.A(\main.demo._q_addr[3] ), + sky130_fd_sc_hd__clkbuf_2 fanout106 (.A(\main.demo._q_addr[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net106)); - sky130_fd_sc_hd__buf_2 fanout107 (.A(net109), + sky130_fd_sc_hd__clkbuf_2 fanout107 (.A(net108), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net107)); - sky130_fd_sc_hd__buf_1 fanout108 (.A(net109), + sky130_fd_sc_hd__dlymetal6s2s_1 fanout108 (.A(\main.demo._q_addr[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net108)); - sky130_fd_sc_hd__clkbuf_2 fanout109 (.A(\main.demo._q_addr[2] ), + sky130_fd_sc_hd__clkbuf_2 fanout109 (.A(net110), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net109)); - sky130_fd_sc_hd__buf_2 fanout110 (.A(net112), + sky130_fd_sc_hd__clkbuf_2 fanout110 (.A(\main.demo._q_addr[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net110)); - sky130_fd_sc_hd__clkbuf_2 fanout111 (.A(net112), + sky130_fd_sc_hd__clkbuf_2 fanout111 (.A(\main.demo._q_addr[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net111)); - sky130_fd_sc_hd__buf_1 fanout112 (.A(\main.demo._q_addr[1] ), + sky130_fd_sc_hd__clkbuf_2 fanout112 (.A(\main.demo._q_addr[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), @@ -12905,13 +12955,13 @@ module tt_um_whynot (clk, .VPB(VPWR), .VPWR(VPWR), .X(net113)); - sky130_fd_sc_hd__buf_2 fanout114 (.A(\main.demo._q_addr[0] ), + sky130_fd_sc_hd__buf_1 fanout114 (.A(net115), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net114)); - sky130_fd_sc_hd__buf_2 fanout115 (.A(net117), + sky130_fd_sc_hd__clkbuf_2 fanout115 (.A(\main.demo._q_addr[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), @@ -12923,100 +12973,130 @@ module tt_um_whynot (clk, .VPB(VPWR), .VPWR(VPWR), .X(net116)); - sky130_fd_sc_hd__clkbuf_2 fanout117 (.A(net118), + sky130_fd_sc_hd__clkbuf_2 fanout117 (.A(\main.demo._q_addr[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net117)); - sky130_fd_sc_hd__buf_2 fanout118 (.A(rst_n_q), + sky130_fd_sc_hd__buf_2 fanout118 (.A(net120), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net118)); - sky130_fd_sc_hd__clkbuf_2 fanout119 (.A(net120), + sky130_fd_sc_hd__buf_2 fanout119 (.A(net124), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net119)); - sky130_fd_sc_hd__clkbuf_2 fanout120 (.A(rst_n_q), + sky130_fd_sc_hd__buf_1 fanout120 (.A(net124), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net120)); - sky130_fd_sc_hd__conb_1 _2343__121 (.VGND(VGND), + sky130_fd_sc_hd__buf_2 fanout121 (.A(net124), + .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .LO(net121)); - sky130_fd_sc_hd__conb_1 _2344__122 (.VGND(VGND), + .X(net121)); + sky130_fd_sc_hd__buf_2 fanout122 (.A(net124), + .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .LO(net122)); - sky130_fd_sc_hd__conb_1 _2345__123 (.VGND(VGND), + .X(net122)); + sky130_fd_sc_hd__clkbuf_2 fanout123 (.A(net124), + .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .LO(net123)); - sky130_fd_sc_hd__conb_1 _2346__124 (.VGND(VGND), + .X(net123)); + sky130_fd_sc_hd__buf_2 fanout124 (.A(rst_n_q), + .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .LO(net124)); - sky130_fd_sc_hd__conb_1 _2347__125 (.VGND(VGND), + .X(net124)); + sky130_fd_sc_hd__clkbuf_2 fanout125 (.A(\main.demo.vga._q_ycount[0] ), + .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .LO(net125)); - sky130_fd_sc_hd__conb_1 _2348__126 (.VGND(VGND), + .X(net125)); + sky130_fd_sc_hd__conb_1 _2360__126 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .LO(net126)); - sky130_fd_sc_hd__conb_1 _2349__127 (.VGND(VGND), + sky130_fd_sc_hd__conb_1 _2361__127 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .LO(net127)); - sky130_fd_sc_hd__conb_1 _2351__128 (.VGND(VGND), + sky130_fd_sc_hd__conb_1 _2362__128 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .LO(net128)); - sky130_fd_sc_hd__conb_1 _2352__129 (.VGND(VGND), + sky130_fd_sc_hd__conb_1 _2363__129 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .LO(net129)); - sky130_fd_sc_hd__conb_1 _2353__130 (.VGND(VGND), + sky130_fd_sc_hd__conb_1 _2364__130 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .LO(net130)); - sky130_fd_sc_hd__conb_1 _2354__131 (.VGND(VGND), + sky130_fd_sc_hd__conb_1 _2365__131 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .LO(net131)); - sky130_fd_sc_hd__conb_1 _2355__132 (.VGND(VGND), + sky130_fd_sc_hd__conb_1 _2366__132 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .LO(net132)); - sky130_fd_sc_hd__conb_1 _2356__133 (.VGND(VGND), + sky130_fd_sc_hd__conb_1 _2368__133 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .LO(net133)); - sky130_fd_sc_hd__conb_1 _2357__134 (.VGND(VGND), + sky130_fd_sc_hd__conb_1 _2369__134 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .LO(net134)); + sky130_fd_sc_hd__conb_1 _2370__135 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .LO(net135)); + sky130_fd_sc_hd__conb_1 _2371__136 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .LO(net136)); + sky130_fd_sc_hd__conb_1 _2372__137 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .LO(net137)); + sky130_fd_sc_hd__conb_1 _2373__138 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .LO(net138)); + sky130_fd_sc_hd__conb_1 _2374__139 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .LO(net139)); sky130_fd_sc_hd__clkbuf_8 clkbuf_4_0_0_clk (.A(clknet_0_clk), .VGND(VGND), .VNB(VGND), @@ -13082,2519 +13162,2672 @@ module tt_um_whynot (clk, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(clknet_4_10_0_clk)); - sky130_fd_sc_hd__clkbuf_8 clkbuf_4_11_0_clk (.A(clknet_0_clk), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(clknet_4_11_0_clk)); - sky130_fd_sc_hd__clkbuf_8 clkbuf_4_12_0_clk (.A(clknet_0_clk), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(clknet_4_12_0_clk)); - sky130_fd_sc_hd__clkbuf_8 clkbuf_4_13_0_clk (.A(clknet_0_clk), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(clknet_4_13_0_clk)); - sky130_fd_sc_hd__clkbuf_8 clkbuf_4_14_0_clk (.A(clknet_0_clk), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(clknet_4_14_0_clk)); - sky130_fd_sc_hd__clkbuf_8 clkbuf_4_15_0_clk (.A(clknet_0_clk), - .VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR), - .X(clknet_4_15_0_clk)); - sky130_fd_sc_hd__dlygate4sd3_1 hold1 (.A(\main.demo.zic._q_clock_count[8] ), + .X(clknet_4_10_0_clk)); + sky130_fd_sc_hd__clkbuf_8 clkbuf_4_11_0_clk (.A(clknet_0_clk), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(net136)); - sky130_fd_sc_hd__dlygate4sd3_1 hold2 (.A(\main._w_demo_video_hs ), + .X(clknet_4_11_0_clk)); + sky130_fd_sc_hd__clkbuf_8 clkbuf_4_12_0_clk (.A(clknet_0_clk), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(net137)); - sky130_fd_sc_hd__dlygate4sd3_1 hold3 (.A(\main.demo.zic._q_rythm_count[12] ), + .X(clknet_4_12_0_clk)); + sky130_fd_sc_hd__clkbuf_8 clkbuf_4_13_0_clk (.A(clknet_0_clk), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(net138)); - sky130_fd_sc_hd__dlygate4sd3_1 hold4 (.A(\main.demo.zic._q_qpos[12] ), + .X(clknet_4_13_0_clk)); + sky130_fd_sc_hd__clkbuf_8 clkbuf_4_14_0_clk (.A(clknet_0_clk), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(net139)); - sky130_fd_sc_hd__dlygate4sd3_1 hold5 (.A(\main.demo.zic._q_clock_count[0] ), + .X(clknet_4_14_0_clk)); + sky130_fd_sc_hd__clkbuf_8 clkbuf_4_15_0_clk (.A(clknet_0_clk), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), - .X(net140)); - sky130_fd_sc_hd__dlygate4sd3_1 hold6 (.A(\main._w_demo_video_vs ), + .X(clknet_4_15_0_clk)); + sky130_fd_sc_hd__dlygate4sd3_1 hold1 (.A(\main.demo.zic._q_rythm_count[12] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net141)); - sky130_fd_sc_hd__dlygate4sd3_1 hold7 (.A(\main.demo._q_v[7] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold2 (.A(\main.demo.zic._q_clock_count[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net142)); - sky130_fd_sc_hd__dlygate4sd3_1 hold8 (.A(\main.demo.zic._q_qpos[7] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold3 (.A(\main._w_demo_video_hs ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net143)); - sky130_fd_sc_hd__dlygate4sd3_1 hold9 (.A(\main.demo.zic._q_qpos[11] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold4 (.A(\main._w_demo_video_vs ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net144)); - sky130_fd_sc_hd__dlygate4sd3_1 hold10 (.A(\main.demo.zic._q_qpos[9] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold5 (.A(\main.demo.zic._q_qpos[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net145)); - sky130_fd_sc_hd__dlygate4sd3_1 hold11 (.A(\main.demo.zic._q_rythm_count[7] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold6 (.A(\main.demo.vga._q_ycount[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net146)); - sky130_fd_sc_hd__dlygate4sd3_1 hold12 (.A(\main.demo._q_v[0] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold7 (.A(\main.demo.zic._q_clock_count[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net147)); - sky130_fd_sc_hd__dlygate4sd3_1 hold13 (.A(\main.demo.zic._q_rythm_count[10] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold8 (.A(\main.demo.zic._q_qpos[12] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net148)); - sky130_fd_sc_hd__dlygate4sd3_1 hold14 (.A(\main.demo.zic._q_qpos[6] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold9 (.A(\main.demo._q_v[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net149)); - sky130_fd_sc_hd__dlygate4sd3_1 hold15 (.A(\main.demo._q_u[0] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold10 (.A(\main.demo.zic._q_qpos[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net150)); - sky130_fd_sc_hd__dlygate4sd3_1 hold16 (.A(\main.demo.zic._q_qpos[5] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold11 (.A(\main.demo._q_u[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net151)); - sky130_fd_sc_hd__dlygate4sd3_1 hold17 (.A(\main.demo.zic._q_qpos[8] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold12 (.A(\main.demo._q_uT[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net152)); - sky130_fd_sc_hd__dlygate4sd3_1 hold18 (.A(\main.demo.zic._q_idx[3] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold13 (.A(\main.demo.zic._q_rythm_count[10] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net153)); - sky130_fd_sc_hd__dlygate4sd3_1 hold19 (.A(_0065_), + sky130_fd_sc_hd__dlygate4sd3_1 hold14 (.A(\main.demo.zic._q_rythm_count[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net154)); - sky130_fd_sc_hd__dlygate4sd3_1 hold20 (.A(\main.demo.zic._q_qpos[10] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold15 (.A(\main.demo.zic._q_rythm_count[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net155)); - sky130_fd_sc_hd__dlygate4sd3_1 hold21 (.A(\main.demo.zic._q_rythm_count[4] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold16 (.A(\main.demo.zic._q_rythm_count[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net156)); - sky130_fd_sc_hd__dlygate4sd3_1 hold22 (.A(\main.demo._q_u[7] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold17 (.A(\main.demo.zic._q_qpos[8] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net157)); - sky130_fd_sc_hd__dlygate4sd3_1 hold23 (.A(\main.demo.zic._q_qpos[4] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold18 (.A(_0045_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net158)); - sky130_fd_sc_hd__dlygate4sd3_1 hold24 (.A(\main.demo.zic._q_clock_count[4] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold19 (.A(\main.demo._q_v[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net159)); - sky130_fd_sc_hd__dlygate4sd3_1 hold25 (.A(\main.demo.zic._q_qpos[3] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold20 (.A(\main.demo.zic._q_clock_count[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net160)); - sky130_fd_sc_hd__dlygate4sd3_1 hold26 (.A(\main.demo._q_vT[1] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold21 (.A(_0080_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net161)); - sky130_fd_sc_hd__dlygate4sd3_1 hold27 (.A(\main.demo._q_v[5] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold22 (.A(\main.demo._q_vT[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net162)); - sky130_fd_sc_hd__dlygate4sd3_1 hold28 (.A(\main.demo.zic._q_clock_count[7] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold23 (.A(\main.demo.zic._q_clock_count[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net163)); - sky130_fd_sc_hd__dlygate4sd3_1 hold29 (.A(\main.demo._q_vT[8] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold24 (.A(\main.demo.zic._q_qpos[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net164)); - sky130_fd_sc_hd__dlygate4sd3_1 hold30 (.A(\main.demo._q_vT[9] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold25 (.A(\main.demo._q_u[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net165)); - sky130_fd_sc_hd__dlygate4sd3_1 hold31 (.A(\main.demo.zic._q_rythm_count[6] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold26 (.A(\main.demo.zic._q_qpos[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net166)); - sky130_fd_sc_hd__dlygate4sd3_1 hold32 (.A(\main.demo.zic._q_qpos[2] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold27 (.A(\main.demo._q_vT[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net167)); - sky130_fd_sc_hd__dlygate4sd3_1 hold33 (.A(\main.demo.zic._q_qpos[1] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold28 (.A(\main.demo._q_v[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net168)); - sky130_fd_sc_hd__dlygate4sd3_1 hold34 (.A(\main.demo._q_uT[11] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold29 (.A(\main.demo.vga._q_ycount[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net169)); - sky130_fd_sc_hd__dlygate4sd3_1 hold35 (.A(_0112_), + sky130_fd_sc_hd__dlygate4sd3_1 hold30 (.A(_0034_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net170)); - sky130_fd_sc_hd__dlygate4sd3_1 hold36 (.A(\main.demo.vga._q_xcount[0] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold31 (.A(\main.demo.zic._q_qpos[3] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net171)); - sky130_fd_sc_hd__dlygate4sd3_1 hold37 (.A(\main.demo.vga._q_ycount[5] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold32 (.A(\main.demo.zic._q_qpos[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net172)); - sky130_fd_sc_hd__dlygate4sd3_1 hold38 (.A(\main.demo.vga._q_xcount[4] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold33 (.A(\main.demo._q_v[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net173)); - sky130_fd_sc_hd__dlygate4sd3_1 hold39 (.A(\main.demo.zic._q_rythm_count[5] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold34 (.A(\main.demo.zic._q_qpos[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net174)); - sky130_fd_sc_hd__dlygate4sd3_1 hold40 (.A(\main.demo._q_vT[2] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold35 (.A(\main.demo._q_uT[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net175)); - sky130_fd_sc_hd__dlygate4sd3_1 hold41 (.A(\main.demo._q_v[2] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold36 (.A(\main.demo._q_vT[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net176)); - sky130_fd_sc_hd__dlygate4sd3_1 hold42 (.A(\main.demo.vga._q_ycount[3] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold37 (.A(\main.demo.vga._q_xcount[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net177)); - sky130_fd_sc_hd__dlygate4sd3_1 hold43 (.A(\main.demo.zic._q_rythm_count[1] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold38 (.A(\main.demo._q_u[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net178)); - sky130_fd_sc_hd__dlygate4sd3_1 hold44 (.A(\main.demo.zic._q_rythm_count[3] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold39 (.A(\main.demo.zic._q_rythm_count[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net179)); - sky130_fd_sc_hd__dlygate4sd3_1 hold45 (.A(\main.demo._q_vT[6] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold40 (.A(\main.demo.zic._q_rythm_count[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net180)); - sky130_fd_sc_hd__dlygate4sd3_1 hold46 (.A(\main.demo._q_vT[5] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold41 (.A(\main.demo.zic._q_qpos[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net181)); - sky130_fd_sc_hd__dlygate4sd3_1 hold47 (.A(\main.demo._q_u[1] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold42 (.A(\main.demo._q_u[5] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net182)); - sky130_fd_sc_hd__dlygate4sd3_1 hold48 (.A(\main.demo.zic._q_qpos[0] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold43 (.A(\main.demo.vga._q_ycount[6] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net183)); - sky130_fd_sc_hd__dlygate4sd3_1 hold49 (.A(_0036_), + sky130_fd_sc_hd__dlygate4sd3_1 hold44 (.A(\main.demo.zic._q_rythm_count[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net184)); - sky130_fd_sc_hd__dlygate4sd3_1 hold50 (.A(\main.demo.zic._q_rythm_count[0] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold45 (.A(\main.demo.zic._q_qpos[11] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net185)); - sky130_fd_sc_hd__dlygate4sd3_1 hold51 (.A(\main.demo._q_u[5] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold46 (.A(\main.demo._q_vT[0] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net186)); - sky130_fd_sc_hd__dlygate4sd3_1 hold52 (.A(\main.demo._q_vT[7] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold47 (.A(\main.demo.vga._q_xcount[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net187)); - sky130_fd_sc_hd__dlygate4sd3_1 hold53 (.A(\main.demo._q_u[3] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold48 (.A(\main.demo.zic._q_squ_env[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net188)); - sky130_fd_sc_hd__dlygate4sd3_1 hold54 (.A(\main.demo.zic._q_rythm_count[9] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold49 (.A(\main.demo._q_uT[9] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net189)); - sky130_fd_sc_hd__dlygate4sd3_1 hold55 (.A(\main.demo.zic._q_clock_count[2] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold50 (.A(\main.demo.zic._q_clock_count[2] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net190)); - sky130_fd_sc_hd__dlygate4sd3_1 hold56 (.A(\main.demo.vga._q_ycount[7] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold51 (.A(\main.demo.zic._q_qpos[7] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net191)); - sky130_fd_sc_hd__dlygate4sd3_1 hold57 (.A(\main.demo._w_vga_active ), + sky130_fd_sc_hd__dlygate4sd3_1 hold52 (.A(\main.demo.zic._q_idx[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net192)); - sky130_fd_sc_hd__dlygate4sd3_1 hold58 (.A(\main.demo._q_v[4] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold53 (.A(\main.demo._q_v[4] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net193)); - sky130_fd_sc_hd__dlygate4sd3_1 hold59 (.A(\main.demo._q_vT[0] ), + sky130_fd_sc_hd__dlygate4sd3_1 hold54 (.A(\main.demo.vga._q_ycount[1] ), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR), .X(net194)); - sky130_fd_sc_hd__dlygate4sd3_1 hold60 (.A(\main.demo.vga._q_ycount[1] ), + sky130_fd_sc_hd__diode_2 ANTENNA_1 (.DIODE(_0569_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR), - .X(net195)); - sky130_fd_sc_hd__dlygate4sd3_1 hold61 (.A(\main.demo._q_uT[9] ), + .VPWR(VPWR)); + sky130_fd_sc_hd__diode_2 ANTENNA_2 (.DIODE(_0569_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR), - .X(net196)); - sky130_fd_sc_hd__dlygate4sd3_1 hold62 (.A(\main.demo._q_uT[8] ), + .VPWR(VPWR)); + sky130_fd_sc_hd__diode_2 ANTENNA_3 (.DIODE(_0569_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), - .VPWR(VPWR), - .X(net197)); - sky130_fd_sc_hd__diode_2 ANTENNA_1 (.DIODE(_0646_), + .VPWR(VPWR)); + sky130_fd_sc_hd__diode_2 ANTENNA_4 (.DIODE(net15), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA_2 (.DIODE(net77), + sky130_fd_sc_hd__diode_2 ANTENNA_5 (.DIODE(_0955_), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA_3 (.DIODE(_0561_), + sky130_fd_sc_hd__diode_2 ANTENNA_6 (.DIODE(net64), .VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA_4 (.DIODE(net72), + sky130_ef_sc_hd__decap_12 FILLER_0_0_3 (.VPWR(VPWR), .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_0_15 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__diode_2 ANTENNA_5 (.DIODE(net72), - .VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_0_19 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_0_3 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_0_54 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_0_15 (.VPWR(VPWR), - .VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_0_57 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__fill_1 FILLER_0_0_27 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_0_74 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_0_29 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_0_82 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_0_41 (.VPWR(VPWR), - .VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_0_101 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_3 FILLER_0_0_53 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_0_109 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_0_57 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_0_113 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_0_69 (.VPWR(VPWR), - .VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_0_148 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_3 FILLER_0_0_81 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_0_174 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_0_85 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_0_194 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_0_97 (.VPWR(VPWR), - .VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_0_218 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_3 FILLER_0_0_109 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_0_241 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_0_113 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_0_249 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_0_125 (.VPWR(VPWR), - .VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_0_275 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_3 FILLER_0_0_137 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_0_279 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_0_297 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_0_305 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_0_141 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_0_309 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_0_153 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_0_321 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__decap_3 FILLER_0_0_165 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_0_333 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_0_169 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_1_3 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_0_181 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_1_15 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_3 FILLER_0_0_193 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_1_43 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_0_197 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_1_54 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_0_209 (.VPWR(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_1_83 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_1_100 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__decap_3 FILLER_0_0_221 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_1_129 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_0_225 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_1_139 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_0_231 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_1_158 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_8 FILLER_0_0_243 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_1_165 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_0_251 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_1_169 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_0_253 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_1_188 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_0_265 (.VPWR(VPWR), - .VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_1_196 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_3 FILLER_0_0_277 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_1_207 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_0_281 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_1_223 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_0_293 (.VPWR(VPWR), - .VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_1_237 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_3 FILLER_0_0_305 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_1_250 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_0_309 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_1_256 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_0_321 (.VPWR(VPWR), - .VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_1_265 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__fill_2 FILLER_0_0_333 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_1_278 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_1_3 (.VPWR(VPWR), + sky130_fd_sc_hd__decap_8 FILLER_0_1_281 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_1_289 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_1_298 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_1_15 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_1_310 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__decap_3 FILLER_0_1_27 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_1_322 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_1_334 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_1_33 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_2_3 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_1_46 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_2_7 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_1_54 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_2_24 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_1_57 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_2_29 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_8 FILLER_0_1_69 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_2_39 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_1_77 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_2_82 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_1_83 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_2_101 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_1_95 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_2_113 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_4 FILLER_0_1_107 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_2_125 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_1_111 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_2_141 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_1_113 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_2_165 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_1_125 (.VPWR(VPWR), - .VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_2_189 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_1_137 (.VPWR(VPWR), - .VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_2_197 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_1_149 (.VPWR(VPWR), - .VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_2_215 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_6 FILLER_0_1_161 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_2_232 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_1_167 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_2_251 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_1_169 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_2_253 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_1_181 (.VPWR(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_2_272 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_2_290 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_2_307 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_2_317 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_1_193 (.VPWR(VPWR), + sky130_fd_sc_hd__decap_6 FILLER_0_2_329 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_3_32 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_3_45 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_3_53 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_3_65 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_3_88 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_3_158 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_3_179 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_3_210 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_3_223 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_3_232 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_3_240 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_3_248 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_3_278 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_3_287 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_3_322 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_3_333 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_4_3 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_4_29 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_4_54 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_4_65 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_4_82 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_4_92 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__decap_6 FILLER_0_1_205 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_4_104 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_4_112 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_4_133 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_4_139 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_4_147 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_4_153 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_1_211 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_4_188 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_1_216 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_4_197 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_1_240 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_4_205 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__fill_2 FILLER_0_1_252 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_4_249 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_1_258 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_4_253 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_3 FILLER_0_1_273 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_4_270 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_1_279 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_4_274 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_1_281 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_4_291 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_1_293 (.VPWR(VPWR), - .VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_4_313 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_1_305 (.VPWR(VPWR), - .VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_4_334 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_1_317 (.VPWR(VPWR), - .VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_5_3 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_6 FILLER_0_1_329 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_5_46 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_2_3 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_5_97 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_2_21 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_5_103 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_2_27 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_5_111 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_2_45 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_5_119 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_2_62 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_5_135 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_2_78 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_5_158 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_2_85 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_5_164 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_2_96 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_5_174 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_8 FILLER_0_2_108 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_5_180 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_2_122 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_5_192 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__decap_6 FILLER_0_2_134 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_5_204 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_2_141 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_4 FILLER_0_2_153 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_5_223 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_2_157 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_5_225 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_2_163 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_5_233 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_2_174 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_5_237 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_2_182 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_5_269 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_2_192 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_5_277 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_2_251 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_5_288 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_2_269 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_5_297 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_2_292 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_6_3 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_4 FILLER_0_2_304 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_6_42 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_2_309 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_6_47 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_2_321 (.VPWR(VPWR), - .VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_6_53 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__fill_2 FILLER_0_2_333 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_6_59 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_3_3 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_6_83 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_3_28 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_6_112 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_3_57 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_6_137 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_3_132 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_6_141 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__decap_4 FILLER_0_3_144 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_6_153 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_3_148 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_6_174 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_3_203 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_6_192 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_3_254 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_6_197 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_3_281 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_6_242 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_3_302 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_6_249 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_3_311 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_6_258 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_3_323 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_6_265 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__fill_1 FILLER_0_4_9 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_6_286 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_4_68 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_6_320 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_4_92 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_6_332 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__fill_1 FILLER_0_4_104 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_7_3 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_4_115 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_7_27 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_4_128 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_7_73 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_4_162 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_7_110 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_4_176 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_7_113 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_4_197 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_7_131 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_4_226 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_7_137 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_4_285 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_7_148 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_4_325 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_7_152 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_4_333 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_7_166 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_5_19 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_7_177 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_5_55 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_7_195 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_5_80 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_7_218 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_5_98 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_7_239 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_5_104 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_7_270 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_5_113 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_7_278 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_5_121 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_7_292 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_5_147 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_8_3 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_5_151 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_8_7 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_5_157 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_8_29 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_5_161 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_8_44 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_5_167 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_8_59 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_5_176 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_8_82 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_5_239 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_8_101 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_5_270 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_8_110 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_5_313 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_8_159 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_8 FILLER_0_5_325 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_8_182 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_5_333 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_8_194 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_6_40 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_8_200 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_6_47 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_8_264 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_6_75 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_8_279 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_6_139 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_8_289 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_6_141 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_8_306 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_6_158 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_8_309 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_6_194 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_8_334 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_6_197 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_9_3 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_6_278 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_9_29 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_6_304 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_9_72 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_6_325 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_9_113 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_6_333 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_9_130 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_7_21 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_9_152 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_7_50 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_9_164 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_7_57 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_9_208 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_7_82 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_9_223 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_7_110 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_9_277 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_7_129 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_9_294 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_7_166 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_9_302 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_9_310 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_7_193 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_9_317 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_7_215 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_9_331 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_7_245 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_10_26 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_7_279 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_10_34 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_7_326 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_10_83 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_7_334 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_10_138 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_8_29 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_10_148 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_8_40 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_10_195 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_8_58 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_10_197 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_8_76 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_10_215 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_8_100 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_10_227 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_8_139 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_10_251 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_8_165 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_10_257 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_8_195 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_10_265 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_8_249 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_10_275 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_8_253 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_10_318 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_8_269 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_11_19 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_8_297 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_11_30 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_8_327 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_11_47 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_9_3 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_11_68 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_9_24 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_11_103 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_9_49 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_11_116 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_9_82 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_11_135 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_9_105 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_11_149 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_9_113 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_11_165 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_9_145 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_11_184 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_9_167 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_11_204 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_9_185 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_11_210 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_9_213 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_11_215 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_9_223 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_11_219 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_9_252 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_11_261 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_9_323 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_11_269 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__fill_1 FILLER_0_10_19 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_11_279 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_10_58 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_11_304 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_10_130 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_12_3 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_10_138 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_12_11 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_10_168 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_12_32 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_10_194 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_12_83 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_10_232 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_12_101 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_10_253 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_12_134 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_10_271 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_12_141 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_10_278 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_12_176 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_10_297 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_12_195 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_10_307 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_12_197 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_10_309 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_12_202 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_10_322 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_12_229 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_10_330 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_12_241 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_10_334 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_12_251 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_11_33 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_12_253 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_11_83 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_12_273 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_11_106 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_12_297 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_11_131 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_12_306 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_11_163 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_12_314 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_11_167 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_13_19 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_11_178 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_13_38 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_11_197 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_13_55 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_11_201 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_13_57 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_11_217 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_13_77 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_11_223 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_13_150 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_11_254 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_13_166 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_11_300 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_13_212 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_11_313 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_13_223 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_12_3 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_13_230 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_12_73 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_13_249 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_12_101 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_13_271 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_12_110 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_14_59 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_12_163 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_14_130 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_12_250 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_14_138 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_12_259 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_14_156 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_12_268 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_14_197 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_12_317 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_14_235 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_12_326 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_14_253 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_13_27 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_14_303 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_13_35 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_14_333 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_13_43 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_15_3 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_13_80 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_15_33 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_13_110 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_15_39 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_13_156 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_15_44 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_13_164 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_15_55 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_13_191 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_15_89 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_13_201 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_15_158 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_13_269 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_15_166 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_13_306 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_15_169 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_14_3 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_15_175 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_14_33 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_15_197 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_14_69 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_15_278 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_14_112 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_15_295 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_14_141 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_15_316 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_14_147 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_15_332 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_14_153 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_16_3 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_14_168 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_16_15 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_14_178 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_16_27 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_14_200 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_16_106 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_14_250 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_16_121 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_14_278 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_16_154 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_14_291 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_16_175 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_14_306 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_16_182 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_14_309 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_16_194 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_14_318 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_16_203 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_15_55 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_16_232 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_15_116 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_16_277 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_15_137 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_17_19 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_15_164 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_17_95 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_15_196 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_17_113 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_15_225 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_17_136 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_15_263 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_17_144 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_15_274 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_17_152 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_15_287 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_17_158 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_15_296 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_17_166 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_15_316 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_17_183 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_16_6 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_17_196 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_16_34 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_17_212 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_16_56 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_17_233 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_16_62 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_17_267 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_16_102 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_17_333 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_16_131 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_18_3 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_16_139 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_18_23 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_16_144 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_18_83 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_16_184 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_18_85 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_16_209 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_18_94 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_16_251 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_18_119 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_16_259 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_18_147 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_16_277 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_18_164 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_16_333 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_18_191 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_17_29 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_18_195 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_17_46 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_18_232 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_17_57 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_18_241 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_17_118 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_18_251 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_17_133 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_18_253 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_17_158 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_18_274 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_17_166 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_18_297 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_17_172 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_18_332 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_17_182 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_19_46 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_17_225 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_19_55 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_17_251 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_19_63 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_17_279 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_19_110 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_18_19 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_19_133 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_18_111 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_19_148 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_18_128 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_19_155 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__decap_4 FILLER_0_18_141 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_19_167 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_18_150 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_19_189 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_3 FILLER_0_18_162 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_19_200 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_18_175 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_19_206 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_18_194 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_19_223 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_18_203 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_19_266 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_18_227 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_19_295 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_18_281 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_19_334 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_18_309 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_20_3 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_18_334 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_20_97 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_19_62 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_20_129 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_19_98 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_20_141 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_19_113 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_20_150 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_19_121 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_20_163 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_19_128 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_20_174 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_19_137 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_20_197 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_19_145 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_20_201 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_19_152 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_20_213 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_19_163 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_20_234 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_19_167 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_20_251 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_19_169 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_20_253 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_19_175 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_20_273 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_19_186 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_20_287 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_19_193 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_20_293 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_19_202 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_20_306 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_19_221 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_20_316 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_19_225 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_20_334 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_19_246 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_21_38 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_19_278 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_21_47 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_19_281 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_21_55 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_19_304 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_21_134 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_20_3 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_21_140 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_20_27 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_21_148 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_21_164 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_20_29 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_21_174 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_21_186 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_20_54 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_21_192 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_20_76 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_21_208 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_20_100 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_21_252 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_20_118 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_21_256 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_20_125 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_21_266 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_20_129 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_21_272 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_20_137 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_21_281 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_20_141 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_21_298 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_20_155 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_21_317 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_20_161 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_22_3 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_20_195 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_22_7 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_20_203 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_22_18 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_20_225 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_22_26 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_20_250 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_22_29 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_20_257 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_22_33 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_20_273 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_22_90 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_20_280 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_22_132 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_20_290 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_22_139 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_20_318 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_22_141 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_21_19 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_22_151 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_21_28 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_22_158 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_21_55 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_22_171 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_21_81 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_22_184 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_22_202 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_21_99 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_22_220 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_21_111 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_22_238 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_21_113 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_22_245 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_21_123 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_22_251 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_21_137 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_22_253 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__fill_2 FILLER_0_21_149 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_22_260 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_21_167 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_22_272 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_21_179 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_22_283 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_21_193 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_22_295 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_21_206 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_22_307 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_21_231 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_23_26 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_21_272 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_23_32 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_21_312 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_23_44 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_21_333 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_23_129 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_22_37 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_23_135 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_22_62 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_23_139 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_22_96 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_23_147 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_22_125 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_23_151 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_22_136 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_23_159 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_22_141 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_23_167 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_22_161 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_23_169 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_22_177 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_23_176 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_22_189 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_23_191 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_22_197 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_23_211 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_22_206 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_23_217 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_22_213 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_23_247 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_22_238 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_23_258 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_22_251 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_23_277 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_22_256 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_23_281 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_22_277 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_23_297 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_22_287 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_23_314 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_22_309 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_23_334 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_22_334 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_24_3 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_23_3 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_24_13 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_23_28 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_24_19 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_23_49 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_24_29 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_23_55 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_24_60 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_23_73 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_24_73 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_23_84 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_24_81 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_23_113 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_24_92 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_23_119 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_24_119 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_23_125 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_24_139 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_23_142 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_24_152 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_23_166 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_24_158 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_23_182 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_24_166 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_23_193 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_24_174 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_23_200 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_24_184 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_23_250 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_24_189 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_23_260 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_24_195 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_23_265 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_24_201 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_23_276 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_24_214 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_24_226 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_23_288 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_24_244 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_23_333 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_24_270 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_24_63 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_24_281 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_24_81 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_24_294 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_24_122 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_24_306 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_24_131 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_24_332 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_24_139 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_25_19 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_24_141 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_25_26 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_24_147 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_25_41 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_24_155 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_25_55 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__fill_1 FILLER_0_24_167 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_25_83 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_24_175 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_25_110 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_24_192 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_25_119 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_24_202 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_25_135 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_25_166 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_24_243 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_25_175 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_25_187 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_24_250 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_25_193 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_24_260 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_25_202 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_24_271 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_25_216 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_24_277 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_25_223 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_24_293 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_25_225 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_24_303 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_25_251 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_24_333 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_25_271 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_25_3 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_25_295 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_25_11 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_25_334 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_25_22 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_26_3 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_25_55 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_26_53 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_25_57 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_26_69 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_25_85 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_26_77 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_25_110 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_26_85 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_25_134 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_26_137 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_25_145 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_26_141 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_26_153 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_25_162 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_26_167 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_25_181 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_26_182 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_25_203 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_26_186 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_25_266 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_26_192 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_25_274 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_26_197 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_26_209 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_25_292 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_26_227 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_25_333 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_26_233 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_26_29 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_26_240 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_26_57 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_26_246 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_26_62 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_26_253 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_26_82 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_26_276 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_26_121 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_26_285 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_26_135 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_26_293 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_26_139 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_26_301 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_26_141 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_26_307 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_26_154 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_26_309 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_26_159 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_26_333 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__fill_1 FILLER_0_26_171 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_27_19 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_26_178 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_27_32 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_26_211 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_27_38 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__decap_8 FILLER_0_26_223 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_27_50 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_26_231 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_27_68 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_26_245 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_27_111 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_26_251 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_27_113 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_26_253 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_27_154 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_26_279 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_27_160 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_26_291 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_27_178 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_26_333 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_27_200 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_27_29 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_27_208 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_27_89 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_27_219 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_27_103 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_27_223 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_27_126 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_27_225 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_27_160 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_27_250 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_27_262 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_27_185 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_27_278 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_27_204 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_27_285 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_27_221 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_27_316 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_27_225 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_27_330 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_27_278 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_27_334 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_27_281 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_28_3 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_27_303 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_28_52 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_27_315 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_28_82 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_27_332 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_28_85 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_28_3 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_28_112 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_28_40 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_28_127 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_28_45 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_28_139 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_28_73 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_28_149 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_28_83 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_28_181 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_28_120 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_28_189 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_28_139 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_28_211 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_28_148 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_28_217 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_28_160 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_28_228 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_28_175 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_28_239 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_28_183 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_28_250 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_28_192 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_28_295 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_28_218 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_28_301 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_28_278 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_28_307 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_28_306 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_28_309 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_28_317 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_28_313 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_28_333 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_28_322 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_28_334 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_29_41 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_29_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_29_15 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_29_160 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_29_19 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_29_189 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_29_23 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_29_195 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_29_31 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_29_203 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__fill_1 FILLER_0_29_215 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_29_37 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_29_225 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_29_49 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_29_234 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_29_74 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_29_242 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_29_102 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__fill_2 FILLER_0_29_254 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_29_143 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_29_261 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_29_164 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_6 FILLER_0_29_273 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_29_176 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_29_279 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_29_191 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_29_288 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_29_212 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_29_294 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_29_216 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_29_302 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_29_225 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_29_309 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_29_237 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_29_321 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_29_261 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__fill_2 FILLER_0_29_333 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_29_288 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_30_3 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_29_311 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_30_9 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_29_315 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_30_14 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_29_333 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_30_36 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_30_27 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_30_54 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_30_45 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_30_63 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_30_85 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_30_93 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_30_97 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); @@ -15602,466 +15835,466 @@ module tt_um_whynot (clk, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_30_119 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_30_126 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_30_151 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_30_136 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_30_159 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_30_141 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__fill_2 FILLER_0_30_171 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_30_145 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_30_182 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_30_151 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_8 FILLER_0_30_163 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_30_188 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_30_184 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_30_195 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_30_190 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_30_204 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_30_203 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_30_217 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_30_268 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_30_226 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_30_284 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_30_241 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_30_292 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_30_265 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_30_303 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_30_274 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_30_307 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_30_316 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_30_316 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_30_330 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_30_321 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_30_333 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_30_334 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_31_3 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_31_27 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_31_14 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_31_26 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_31_45 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_31_33 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_31_54 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_31_49 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_31_73 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_31_57 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_31_80 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_31_89 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_31_96 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_31_98 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_31_111 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_31_108 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_31_132 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_31_139 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_31_147 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_31_158 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_31_166 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_31_164 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_31_184 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_31_169 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_31_178 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); sky130_fd_sc_hd__fill_1 FILLER_0_31_190 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_31_197 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_31_198 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_31_208 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_31_209 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_31_217 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_31_213 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_31_223 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_31_222 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_31_225 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_31_225 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_31_233 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_31_238 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_31_242 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_31_246 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_31_257 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_31_261 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_31_268 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__fill_2 FILLER_0_31_281 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_31_269 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_31_289 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_31_281 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_31_297 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_31_307 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_31_301 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_31_320 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_3 FILLER_0_31_313 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_31_329 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_31_333 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_32_3 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_32_3 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_32_13 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_32_24 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_32_27 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_32_46 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_32_61 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_32_64 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_32_73 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_32_90 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_32_85 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_32_101 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_32_89 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_32_115 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_32_106 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_32_141 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_32_134 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_32_168 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_32_141 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_32_179 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_32_147 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_32_197 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_32_156 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_32_205 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_32_164 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_32_221 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_32_172 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_32_227 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_32_188 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_32_251 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_32_213 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_32_260 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_32_217 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_32_275 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_32_225 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_32_291 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_32_229 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_32_307 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_32_235 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_32_279 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_32_309 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_32_297 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_32_314 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_32_305 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_32_330 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_32_309 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_32_334 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_32_333 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_33_22 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_33_3 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_33_26 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_33_46 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_33_55 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_33_78 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_33_63 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_33_98 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_33_83 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_33_102 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_33_94 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_33_111 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_33_108 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_33_123 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_33_126 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_33_129 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_33_146 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_33_141 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_33_154 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_33_156 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_33_163 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_33_165 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_33_167 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_33_173 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_33_169 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_33_177 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_33_189 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_33_193 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__decap_8 FILLER_0_33_201 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_33_205 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_33_209 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_33_211 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_33_217 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_33_225 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_33_225 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_33_231 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_33_233 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_33_240 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_33_242 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_33_244 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_33_257 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_33_279 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_33_264 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_4 FILLER_0_33_276 (.VGND(VGND), - .VNB(VGND), - .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_33_287 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_33_281 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_33_291 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_33_289 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_33_299 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__fill_1 FILLER_0_33_323 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_33_299 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_33_331 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_33_318 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_34_3 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_33_332 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_34_16 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_34_35 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_34_51 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_34_49 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_34_124 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_34_67 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_34_132 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_34_101 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_34_148 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_34_113 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_34_156 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_34_139 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_34_167 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_34_141 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_34_178 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_34_156 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_34_186 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_34_185 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_34_204 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_34_193 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_34_214 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_34_197 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_34_231 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_34_213 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_34_243 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_34_228 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_34_251 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_34_261 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_34_268 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_34_282 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_34_277 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_34_286 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_34_305 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_34_294 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_34_309 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_34_304 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_34_313 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_34_309 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_34_322 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); sky130_fd_sc_hd__fill_1 FILLER_0_34_334 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), @@ -16070,47 +16303,47 @@ module tt_um_whynot (clk, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_35_57 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_35_49 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_35_86 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_35_57 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_35_100 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_35_87 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_35_104 (.VGND(VGND), - .VNB(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_35_92 (.VPWR(VPWR), + .VGND(VGND), .VPB(VPWR), - .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_35_132 (.VGND(VGND), + .VNB(VGND)); + sky130_fd_sc_hd__decap_3 FILLER_0_35_104 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_35_154 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_35_124 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_35_161 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_35_129 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_35_167 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_35_144 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_35_192 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_35_169 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_35_202 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_35_177 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_35_210 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_35_189 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); @@ -16122,43 +16355,39 @@ module tt_um_whynot (clk, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_35_225 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_ef_sc_hd__decap_12 FILLER_0_35_237 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_3 FILLER_0_35_249 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_35_239 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_35_279 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_35_256 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_35_291 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_35_265 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_35_300 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_35_278 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_35_308 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_35_293 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_35_332 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_35_306 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_35_318 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_36_3 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_35_334 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_36_26 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_36_24 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); @@ -16166,271 +16395,275 @@ module tt_um_whynot (clk, .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_36_39 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_36_34 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_36_54 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_36_43 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_36_61 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_36_66 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_36_82 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_36_106 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_36_85 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_36_129 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_36_104 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_36_165 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_36_134 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_36_185 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_36_139 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_36_193 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_36_147 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_36_197 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_2 FILLER_0_36_209 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_36_165 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_36_227 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_36_180 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_36_239 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_36_206 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_36_248 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_36_210 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_36_260 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_36_223 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_36_275 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_36_243 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_36_283 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_36_247 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_36_299 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_36_260 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_36_307 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_36_267 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_36_309 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_36_280 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_36_330 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_36_288 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_36_334 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_36_304 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_37_3 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_36_309 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_37_46 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_36_318 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_37_55 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_36_327 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_37_90 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_37_98 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_37_110 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_37_126 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_37_130 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_37_131 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_37_139 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_37_167 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_37_161 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_37_169 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_37_167 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_37_204 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_37_169 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_37_208 (.VGND(VGND), + sky130_ef_sc_hd__decap_12 FILLER_0_37_187 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_37_199 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_37_215 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_37_207 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_37_223 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_37_215 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_37_232 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_37_222 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_37_253 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_37_253 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_37_270 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_37_271 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_37_274 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_37_278 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_37_281 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_37_288 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_37_285 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_37_299 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_37_291 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_37_303 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_37_299 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_37_311 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_37_306 (.VGND(VGND), + sky130_fd_sc_hd__decap_6 FILLER_0_37_329 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_37_314 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_38_3 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_37_333 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_38_27 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_38_3 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_38_40 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_38_29 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_38_61 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_38_85 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_38_75 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_38_107 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_38_92 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_38_138 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_38_97 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_38_141 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_38_107 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_38_169 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_38_134 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_38_194 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_38_141 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_6 FILLER_0_38_197 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_38_169 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_38_203 (.VGND(VGND), + sky130_fd_sc_hd__fill_2 FILLER_0_38_177 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_8 FILLER_0_38_207 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_38_225 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_38_220 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_38_233 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_38_234 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_38_248 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_38_240 (.VPWR(VPWR), + sky130_ef_sc_hd__decap_12 FILLER_0_38_258 (.VPWR(VPWR), .VGND(VGND), .VPB(VPWR), .VNB(VGND)); - sky130_fd_sc_hd__decap_6 FILLER_0_38_253 (.VGND(VGND), + sky130_fd_sc_hd__decap_4 FILLER_0_38_270 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_1 FILLER_0_38_259 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_38_279 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_38_267 (.VPWR(VPWR), - .VGND(VGND), - .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__fill_1 FILLER_0_38_279 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_38_281 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_4 FILLER_0_38_281 (.VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_38_289 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__fill_2 FILLER_0_38_290 (.VGND(VGND), + sky130_fd_sc_hd__decap_8 FILLER_0_38_312 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_ef_sc_hd__decap_12 FILLER_0_38_312 (.VPWR(VPWR), - .VGND(VGND), + sky130_fd_sc_hd__decap_3 FILLER_0_38_320 (.VGND(VGND), + .VNB(VGND), .VPB(VPWR), - .VNB(VGND)); - sky130_fd_sc_hd__decap_8 FILLER_0_38_324 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_38_330 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR)); - sky130_fd_sc_hd__decap_3 FILLER_0_38_332 (.VGND(VGND), + sky130_fd_sc_hd__fill_1 FILLER_0_38_334 (.VGND(VGND), .VNB(VGND), .VPB(VPWR), .VPWR(VPWR));